Tsung-Ching Huang

According to our database1, Tsung-Ching Huang authored at least 27 papers between 2008 and 2020.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2020
Robust Design of Large Area Flexible Electronics via Compressed Sensing.
Proceedings of the 57th ACM/IEEE Design Automation Conference, 2020

2019
Compact Modeling of Thin-Film Transistors for Flexible Hybrid IoT Design.
IEEE Des. Test, 2019

Process Design Kit and Design Automation for Flexible Hybrid Electronics.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2019

Ultra-thin Skin Electronics for High Quality and Continuous Skin-Sensor-Silicon Interfacing.
Proceedings of the 56th Annual Design Automation Conference 2019, 2019

2018
Innovative practices on design & test for flexible hybrid electronics.
Proceedings of the 36th IEEE VLSI Test Symposium, 2018

Compact modeling of carbon nanotube thin film transistors for flexible circuit design.
Proceedings of the 2018 Design, Automation & Test in Europe Conference & Exhibition, 2018

Process design kit for flexible hybrid electronics.
Proceedings of the 23rd Asia and South Pacific Design Automation Conference, 2018

2017
Robust design and design automation for flexible hybrid electronics.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2017

2016
Printed circuits on flexible substrates: opportunities and challenges (invited paper).
Proceedings of the Tenth IEEE/ACM International Symposium on Networks-on-Chip, 2016

Process-variation tolerant flexible circuit for wearable electronics.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2016

2015
Design, Automation, and Test for Low-Power and Reliable Flexible Electronics.
Found. Trends Electron. Des. Autom., 2015

Wristband Vital: A wearable multi-sensor microsystem for real-time assistance via low-power Bluetooth link.
Proceedings of the 2nd IEEE World Forum on Internet of Things, 2015

56 Gb/s PAM-4 optical receiver frontend in an advanced FinFET process.
Proceedings of the IEEE 58th International Midwest Symposium on Circuits and Systems, 2015

Silicon Mach-Zehnder Interferometer modulator with PAM-4 data modulation at 64 Gb/s.
Proceedings of the IEEE 58th International Midwest Symposium on Circuits and Systems, 2015

DWDM nanophotonic interconnects: toward terabit/s chip-scale serial link.
Proceedings of the IEEE 58th International Midwest Symposium on Circuits and Systems, 2015

Variation-Aware Adaptive Tuning for Nanophotonic Interconnects.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2015

2014
8.4 A 28Gb/s 1pJ/b shared-inductor optical receiver with 56% chip-area reduction in 28nm CMOS.
Proceedings of the 2014 IEEE International Conference on Solid-State Circuits Conference, 2014

2013
Insole Pedometer With Piezoelectric Energy Harvester and 2 V Organic Circuits.
IEEE J. Solid State Circuits, 2013

2012
A 100-V AC Energy Meter Integrating 20-V Organic CMOS Digital and Analog Circuits With a Floating Gate for Process Variation Compensation and a 100-V Organic pMOS Rectifier.
IEEE J. Solid State Circuits, 2012

Insole pedometer with piezoelectric energy harvester and 2V organic digital and analog circuits.
Proceedings of the 2012 IEEE International Solid-State Circuits Conference, 2012

A 2.7GHz 3.9mW Mesh-BJT LC-VCO with -204dBc/Hz FOM in 65nm CMOS.
Proceedings of the IEEE 2012 Custom Integrated Circuits Conference, 2012

2011
Robust Circuit Design for Flexible Electronics.
IEEE Des. Test Comput., 2011

100V AC power meter system-on-a-film (SoF) integrating 20V organic CMOS digital and analog circuits with floating gate for process-variation compensation and 100V organic PMOS rectifier.
Proceedings of the IEEE International Solid-State Circuits Conference, 2011

2010
Design, analysis, and test of low-power and reliable flexible electronics.
Proceedings of the 28th IEEE VLSI Test Symposium, 2010

A portable multi-pitch e-drum based on printed flexible pressure sensors.
Proceedings of the Design, Automation and Test in Europe, 2010

Pseudo-CMOS: A novel design style for flexible electronics.
Proceedings of the Design, Automation and Test in Europe, 2010

2008
Reliability analysis for flexible electronics: Case study of integrated a-Si: H TFT scan driver.
ACM J. Emerg. Technol. Comput. Syst., 2008


  Loading...