Jiun-Lang Huang

According to our database1, Jiun-Lang Huang authored at least 78 papers between 1997 and 2023.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2023
BDD-Based Self-Test Program Generation for Processor Cores.
Proceedings of the IEEE International Test Conference in Asia, 2023

2022
Test Response Compaction for Software-Based Self-Test.
Proceedings of the IEEE International Test Conference in Asia, 2022

Intelligent Design Automation for Heterogeneous Integration.
Proceedings of the ISPD 2022: International Symposium on Physical Design, Virtual Event, Canada, March 27, 2022

2021
Opportunities for 2.5/3D Heterogeneous SoC Integration.
Proceedings of the International Symposium on VLSI Design, Automation and Test, 2021

Automatic Test Program Generation for Transition Delay Faults in Pipelined Processors.
Proceedings of the IEEE International Test Conference in Asia, 2021

2020
DSSP-ATPG: A Deterministic Search-Space Parallel Test Pattern Generator.
Proceedings of the IEEE International Test Conference in Asia, 2020

Intelligent Design Automation for 2.5/3D Heterogeneous SoC Integration.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2020

Functional-Like Transition Delay Fault Test-Pattern Generation using a Bayesian-Based Circuit Model.
Proceedings of the IEEE European Test Symposium, 2020

2019
An FPGA-Based Data Receiver for Digital IC Testing.
Proceedings of the IEEE International Test Conference in Asia, 2019

Testability Measures Considering Circuit Reconvergence to Reduce ATPG Runtime.
Proceedings of the 22nd IEEE International Symposium on Design and Diagnostics of Electronic Circuits & Systems, 2019

Reinforcement-Learning-Based Test Program Generation for Software-Based Self-Test.
Proceedings of the 28th IEEE Asian Test Symposium, 2019

2018
Conference Reports: Report on 2017 IEEE Asian Test Symposium.
IEEE Des. Test, 2018

A Multi-Fault Dynamic Compaction Technique for Test Pattern Count Reduction.
Proceedings of the International SoC Design Conference, 2018

Design and Implementation of an FPGA-Based 16-Channel Data/Timing Formatter.
Proceedings of the 27th IEEE Asian Test Symposium, 2018

2017
Source code transformation for software-based on-line error detection.
Proceedings of the IEEE Conference on Dependable and Secure Computing, 2017

Design and Implementation of an EG-Pool Based FPGA Formatter with Temperature Compensation.
Proceedings of the 26th IEEE Asian Test Symposium, 2017

2016
CPP-ATPG: A Circular Pipeline Processing Based Deterministic Parallel Test Pattern Generator.
J. Electron. Test., 2016

An IR-Drop Aware Test Pattern Generator for Scan-Based At-Speed Testing.
Proceedings of the 25th IEEE Asian Test Symposium, 2016

2015
Design, Automation, and Test for Low-Power and Reliable Flexible Electronics.
Found. Trends Electron. Des. Autom., 2015

Design and Implementation of an FPGA-Based Data/Timing Formatter.
J. Electron. Test., 2015

A test-application-count based learning technique for test time reduction.
Proceedings of the VLSI Design, Automation and Test, 2015

SDC-TPG: A Deterministic Zero-Inflation Parallel Test Pattern Generator.
Proceedings of the 24th IEEE Asian Test Symposium, 2015

2014
FPGA-Based Subset Sum Delay Lines.
Proceedings of the 23rd IEEE Asian Test Symposium, 2014

2013
Low-Cost Error Tolerance Scheme for 3-D CMOS Imagers.
IEEE Trans. Very Large Scale Integr. Syst., 2013

Synergistic Reliability and Yield Enhancement Techniques for Embedded SRAMs.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2013

On Guaranteeing Capture Safety in At-Speed Scan Testing with Broadcast-Scan-Based Test Compression.
Proceedings of the 26th International Conference on VLSI Design and 12th International Conference on Embedded Systems, 2013

Improve speed path identification with suspect path expressions.
Proceedings of the 2013 International Symposium on VLSI Design, Automation, and Test, 2013

A circular pipeline processing based deterministic parallel test pattern generator.
Proceedings of the 2013 IEEE International Test Conference, 2013

An IDDQ-based source driver IC design-for-test technique.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2013

A mutual characterization based SAR ADC self-testing technique.
Proceedings of the 18th IEEE European Test Symposium, 2013

Fault Scrambling Techniques for Yield Enhancement of Embedded Memories.
Proceedings of the 22nd Asian Test Symposium, 2013

2012
Launch-on-Shift Test Generation for Testing Scan Designs Containing Synchronous and Asynchronous Clock Domains.
ACM Trans. Design Autom. Electr. Syst., 2012

An MCT-Based Bit-Weight Extraction Technique for Embedded SAR ADC Testing and Calibration.
J. Electron. Test., 2012

A SAR ADC missing-decision level detection and removal technique.
Proceedings of the 30th IEEE VLSI Test Symposium, 2012

A Transition Isolation Scan Cell Design for Low Shift and Capture Power.
Proceedings of the 21st IEEE Asian Test Symposium, 2012

A Built-In Characterization Technique for 1-Bit/Stage Pipelined ADC.
Proceedings of the 21st IEEE Asian Test Symposium, 2012

2011
ADC/DAC Loopback Linearity Testing by DAC Output Offsetting and Scaling.
IEEE Trans. Very Large Scale Integr. Syst., 2011

Using Launch-on-Capture for Testing Scan Designs Containing Synchronous and Asynchronous Clock Domains.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2011

Histogram-Based Calibration of Capacitor Mismatch and Comparator Offset for 1-Bit/Stage Pipelined ADCs.
J. Electron. Test., 2011

Robust Circuit Design for Flexible Electronics.
IEEE Des. Test Comput., 2011

A Promising Alternative to Conventional Silicon.
IEEE Des. Test Comput., 2011

Clock-gating-aware low launch WSA test pattern generation for at-speed scan testing.
Proceedings of the 2011 IEEE International Test Conference, 2011

A Pre- and Post-bond Self-Testing and Calibration Methodology for SAR ADC Array in 3-D CMOS Imager.
Proceedings of the 16th European Test Symposium, 2011

A self-testing and calibration method for embedded successive approximation register ADC.
Proceedings of the 16th Asia South Pacific Design Automation Conference, 2011

2010
CSER: BISER-based concurrent soft-error resilience.
Proceedings of the 28th IEEE VLSI Test Symposium, 2010

An ADC/DAC loopback testing methodology by DAC output offsetting and scaling.
Proceedings of the 28th IEEE VLSI Test Symposium, 2010

A scalable quantitative measure of IR-drop effects for scan pattern generation.
Proceedings of the 2010 International Conference on Computer-Aided Design, 2010

A robust ADC code hit counting technique.
Proceedings of the Design, Automation and Test in Europe, 2010

An error tolerance scheme for 3D CMOS imagers.
Proceedings of the 47th Design Automation Conference, 2010

Power Supply Noise Reduction in Broadcast-Based Compression Environment for At-speed Scan Testing.
Proceedings of the 19th IEEE Asian Test Symposium, 2010

Improved weight assignment for logic switching activity during at-speed test pattern generation.
Proceedings of the 15th Asia South Pacific Design Automation Conference, 2010

2009
Power Supply Noise Reduction for At-Speed Scan Testing in Linear-Decompression Environment.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2009

A Charge-Sensing-Capable Source Driver for TFT Array Testing in System-on-Panel Displays.
J. Comput., 2009

LPTest: a Flexible Low-Power Test Pattern Generator.
J. Electron. Test., 2009

An On-Chip Integrator Leakage Characterization Technique and Its Application to Switched Capacitor Circuits Testing.
Proceedings of the Eighteentgh Asian Test Symposium, 2009

Diagnosing integrator leakage of single-bit first-order DeltaSigma modulator using DC input.
Proceedings of the 14th Asia South Pacific Design Automation Conference, 2009

2008
A Built-In TFT Array Charge-Sensing Technique for System-on-Panel Displays.
Proceedings of the 26th IEEE VLSI Test Symposium (VTS 2008), April 27, 2008

Reducing Power Supply Noise in Linear-Decompressor-Based Test Data Compression Environment for At-Speed Scan Testing.
Proceedings of the 2008 IEEE International Test Conference, 2008

On Optimizing Fault Coverage, Pattern Count, and ATPG Run Time Using a Hybrid Single-Capture Scheme for Testing Scan Designs.
Proceedings of the 23rd IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2008), 2008

PHS-Fill: A Low Power Supply Noise Test Pattern Generation Technique for At-Speed Scan Testing in Huffman Coding Test Compression Environment.
Proceedings of the 17th IEEE Asian Test Symposium, 2008

Testing LCD Source Driver IC with Built-on-Scribe-Line Test Circuitry.
Proceedings of the 17th IEEE Asian Test Symposium, 2008

2007
An Efficient Peak Power Reduction Technique for Scan Testing.
Proceedings of the 16th Asian Test Symposium, 2007

2006
A Low-Cost Jitter Measurement Technique for BIST Applications.
J. Electron. Test., 2006

On-Chip Random Jitter Testing Using Low Tap-Count Coarse Delay Lines.
J. Electron. Test., 2006

A Random Jitter Extraction Technique in the Presence of Sinusoidal Jitter.
Proceedings of the 15th Asian Test Symposium, 2006

A routability constrained scan chain ordering technique for test power reduction.
Proceedings of the 2006 Conference on Asia South Pacific Design Automation: ASP-DAC 2006, 2006

2005
Random Jitter Testing Using Low Tap-Count Delay Lines.
Proceedings of the 14th Asian Test Symposium (ATS 2005), 2005

2004
An Infrastructure IP for On-Chip Clock Jitter Measurement.
Proceedings of the 22nd IEEE International Conference on Computer Design: VLSI in Computers & Processors (ICCD 2004), 2004

2003
Practical considerations in applying Σ-Δ modulation-based analog BIST to sampled-data systems.
IEEE Trans. Circuits Syst. II Express Briefs, 2003

A Low-Cost Jitter Measurement Technique for BIST Applications.
Proceedings of the 12th Asian Test Symposium (ATS 2003), 17-19 November 2003, Xian, China, 2003

2002
On-chip Analog Response Extraction with 1-Bit ? - Modulators.
Proceedings of the 11th Asian Test Symposium (ATS 2002), 18-20 November 2002, Guam, USA, 2002

2001
An On-Chip Short-Time Interval Measurement Technique for Testing High-Speed Communication Links.
Proceedings of the 19th IEEE VLSI Test Symposium (VTS 2001), Test and Diagnosis in a Nanometric World, 29 April, 2001

2000
Characterization of a Pseudo-Random Testing Technique for Analog and Mixed-Signal Built-in-Self-Test.
Proceedings of the 18th IEEE VLSI Test Symposium (VTS 2000), 30 April, 2000

Testing and characterization of the one-bit first-order delta-sigma modulator for on-chip analog signal analysis.
Proceedings of the Proceedings IEEE International Test Conference 2000, 2000

A BIST Scheme for On-Chip ADC and DAC Testing.
Proceedings of the 2000 Design, 2000

A sigma-delta modulation based BIST scheme for mixed-signal circuits.
Proceedings of ASP-DAC 2000, 2000

1999
Specification Back-Propagation and Its Application to DC Fault Simulation for Analog/Mixed-Signal Circuits.
Proceedings of the 17th IEEE VLSI Test Symposium (VTS '99), 1999

1997
Analog Fault Diagnosis for Unpowered Circuit Boards.
Proceedings of the Proceedings IEEE International Test Conference 1997, 1997


  Loading...