Woo-Cheol Kwon

According to our database1, Woo-Cheol Kwon authored at least 14 papers between 2000 and 2018.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2018
Co-design of on-chip caches and networks for scalable shared-memory many-core CMPs.
PhD thesis, 2018

2015
A universal ordered NoC design platform for shared-memory MPSoC.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2015

2014
Smart: Single-Cycle Multihop Traversals over a Shared Network on Chip.
IEEE Micro, 2014

SCORPIO: A 36-core research chip demonstrating snoopy coherence on a scalable mesh NoC with in-network ordering.
Proceedings of the ACM/IEEE 41st International Symposium on Computer Architecture, 2014

SCORPIO: 36-core shared memory processor demonstrating snoopy coherence on a mesh interconnect.
Proceedings of the 2014 IEEE Hot Chips 26 Symposium (HCS), 2014

Locality-oblivious cache organization leveraging single-cycle multi-hop NoCs.
Proceedings of the Architectural Support for Programming Languages and Operating Systems, 2014

2013
Single-Cycle Multihop Asynchronous Repeated Traversal: A SMART Future for Reconfigurable On-Chip Networks.
Computer, 2013

Breaking the on-chip latency barrier using SMART.
Proceedings of the 19th IEEE International Symposium on High Performance Computer Architecture, 2013

2009
In-network reorder buffer to improve overall NoC performance while resolving the in-order requirement problem.
Proceedings of the Design, Automation and Test in Europe, 2009

2008
An Open-Loop Flow Control Scheme Based on the Accurate Global Information of On-Chip Communication.
Proceedings of the Design, Automation and Test in Europe, 2008

A practical approach of memory access parallelization to exploit multiple off-chip DDR memories.
Proceedings of the 45th Design Automation Conference, 2008

2006
A systematic IP and bus subsystem modeling for platform-based system design.
Proceedings of the Conference on Design, Automation and Test in Europe, 2006

2005
Optimal voltage allocation techniques for dynamically variable voltage processors.
ACM Trans. Embed. Comput. Syst., 2005

2000
Approximation of Curvature-Constrained Shortest Paths through a Sequence of Points.
Proceedings of the Algorithms, 2000


  Loading...