Suvinay Subramanian

Orcid: 0000-0002-8715-8964

According to our database1, Suvinay Subramanian authored at least 22 papers between 2013 and 2024.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2024
Progressive Gradient Flow for Robust N: M Sparsity Training in Transformers.
CoRR, 2024

2023
JaxPruner: A concise library for sparsity research.
CoRR, 2023

TPU v4: An Optically Reconfigurable Supercomputer for Machine Learning with Hardware Support for Embeddings.
Proceedings of the 50th Annual International Symposium on Computer Architecture, 2023

STEP: Learning N: M Structured Sparsity Masks from Scratch with Precondition.
Proceedings of the International Conference on Machine Learning, 2023

FLAT: An Optimized Dataflow for Mitigating Attention Bottlenecks.
Proceedings of the 28th ACM International Conference on Architectural Support for Programming Languages and Operating Systems, 2023

2022
Training Recipe for N: M Structured Sparsity with Decaying Pruning Mask.
CoRR, 2022

2021
ATTACC the Quadratic Bottleneck of Attention Layers.
CoRR, 2021

2018
Architectural techniques to unlock ordered and nested speculative parallelism.
PhD thesis, 2018

Harmonizing Speculative and Non-Speculative Execution in Architectures for Ordered Parallelism.
Proceedings of the 51st Annual IEEE/ACM International Symposium on Microarchitecture, 2018

2017
Fractal: An Execution Model for Fine-Grain Nested Speculative Parallelism.
Proceedings of the 44th Annual International Symposium on Computer Architecture, 2017

SAM: Optimizing Multithreaded Cores for Speculative Parallelism.
Proceedings of the 26th International Conference on Parallel Architectures and Compilation Techniques, 2017

2016
Unlocking Ordered Parallelism with the Swarm Architecture.
IEEE Micro, 2016

Programmable Packet Scheduling.
CoRR, 2016

Programmable Packet Scheduling at Line Rate.
Proceedings of the ACM SIGCOMM 2016 Conference, Florianopolis, Brazil, August 22-26, 2016, 2016

Data-centric execution of speculative parallel programs.
Proceedings of the 49th Annual IEEE/ACM International Symposium on Microarchitecture, 2016

2015
A scalable architecture for ordered parallelism.
Proceedings of the 48th International Symposium on Microarchitecture, 2015

Towards Programmable Packet Scheduling.
Proceedings of the 14th ACM Workshop on Hot Topics in Networks, Philadelphia, PA, USA, November 16, 2015

2014
SCORPIO: A 36-core research chip demonstrating snoopy coherence on a scalable mesh NoC with in-network ordering.
Proceedings of the ACM/IEEE 41st International Symposium on Computer Architecture, 2014

SCORPIO: 36-core shared memory processor demonstrating snoopy coherence on a mesh interconnect.
Proceedings of the 2014 IEEE Hot Chips 26 Symposium (HCS), 2014

2013
Single-Cycle Multihop Asynchronous Repeated Traversal: A SMART Future for Reconfigurable On-Chip Networks.
Computer, 2013

No silver bullet: extending SDN to the data plane.
Proceedings of the Twelfth ACM Workshop on Hot Topics in Networks, 2013

SMART: a single-cycle reconfigurable NoC for SoC applications.
Proceedings of the Design, Automation and Test in Europe, 2013


  Loading...