Alexandre V. Bystrov

Orcid: 0000-0001-9338-2535

According to our database1, Alexandre V. Bystrov authored at least 57 papers between 1995 and 2023.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2023
A Rapid Reset 8-Transistor Physically Unclonable Function Utilising Power Gating.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2023

2019
Generalised Asynchronous Arbiter.
Proceedings of the 19th International Conference on Application of Concurrency to System Design, 2019

2017
Design-time reliability evaluation for digital circuits.
Proceedings of the 23rd IEEE International Symposium on On-Line Testing and Robust System Design, 2017

Derivation of the reliability metric for digital circuits.
Proceedings of the 22nd IEEE European Test Symposium, 2017

2015
Location-dependent key management protocol for a WSN with a random selected cell reporter.
Proceedings of the 2015 IEEE International Conference on Communications, 2015

2014
FPGA design for dual-spectrum visual scene preparation in retinal prosthesis.
Proceedings of the 36th Annual International Conference of the IEEE Engineering in Medicine and Biology Society, 2014

2013
Selected Articles from the IEEE LPonTR 2012 Workshop.
J. Low Power Electron., 2013

Assessment of the One-Dimensional Generalized New Mersenne Number Transform for Security Systems.
Proceedings of the 77th IEEE Vehicular Technology Conference, 2013

Simulation Testing of a Real-Time Heuristic Scheduler with Automotive Benchmarks.
Proceedings of the 15th International Conference on Computer Modelling and Simulation, 2013

FPGA design of a pulse encoder for optoelectronic neural stimulation and recording arrays.
Proceedings of the 2013 IEEE Biomedical Circuits and Systems Conference (BioCAS), Rotterdam, The Netherlands, October 31, 2013

2012
Design and security evaluation of balanced 1-of-n circuits.
IET Comput. Digit. Tech., 2012

Identification of Key Energy Harvesting Parameters through Monte Carlo Simulations.
Proceedings of the 14th International Conference on Computer Modelling and Simulation, 2012

Modelling and Analysis of Manufacturing Variability Effects from Process to Architectural Level.
Proceedings of the Integrated Circuit and System Design. Power and Timing Modeling, 2012

Self-Timed Physically Unclonable Functions.
Proceedings of the 5th International Conference on New Technologies, 2012

Statistical delay modelling of manufacturing process variations at system level.
Proceedings of the 10th IEEE International NEWCAS Conference, 2012

Error detection and correction of single event upset (SEU) tolerant latch.
Proceedings of the 18th IEEE International On-Line Testing Symposium, 2012

2011
Security Evaluation of Balanced 1-of- n Circuits.
IEEE Trans. Very Large Scale Integr. Syst., 2011

Improving the Robustness of Self-timed SRAM to Variable Vdds.
Proceedings of the Integrated Circuit and System Design. Power and Timing Modeling, Optimization, and Simulation, 2011

2010
Selected Peer-Reviewed Articles from the LPonTR 2009 Workshop.
J. Low Power Electron., 2010

On-line testing of bundled-data asynchronous handshake protocols.
Proceedings of the 16th IEEE International On-Line Testing Symposium (IOLTS 2010), 2010

2008
Phase-Encoding for On-Chip Signalling.
IEEE Trans. Circuits Syst. I Regul. Pap., 2008

Modeling and verification of the SDL-specified communication protocols using high-level Petri nets.
Program. Comput. Softw., 2008

Selected Peer-Reviewed Articles from the LPonTR 2008 Workshop.
J. Low Power Electron., 2008

Implementation of a phase-encoding signalling prototype chip.
Proceedings of the ESSCIRC 2008, 2008

2007
Registers for Phase Difference Based Logic.
IEEE Trans. Very Large Scale Integr. Syst., 2007

Direct Mapping of Low-Latency Asynchronous Controllers From STGs.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2007

A C-element Latch Scheme with Increased Transient Fault Tolerance for Asynchronous Circuits.
Proceedings of the 13th IEEE International On-Line Testing Symposium (IOLTS 2007), 2007

Application of Modified Coloured Petri Nets to Modeling and Verification of SDL Specified Communication Protocols.
Proceedings of the Computer Science, 2007

Delay/Phase Regeneration Circuits.
Proceedings of the 13th IEEE International Symposium on Asynchronous Circuits and Systems (ASYNC 2007), 2007

2006
Online Testing by Protocol Decomposition.
Proceedings of the 12th IEEE International On-Line Testing Symposium (IOLTS 2006), 2006

Low-Cost Online Testing of Asynchronous Handshakes.
Proceedings of the 11th European Test Symposium, 2006

Multiple-Rail Phase-Encoding for NoC.
Proceedings of the 12th IEEE International Symposium on Asynchronous Circuits and Systems (ASYNC 2006), 2006

2005
Design and Analysis of Dual-Rail Circuits for Security Applications.
IEEE Trans. Computers, 2005

Off-Line Testing of Asynchronous Circuits.
Proceedings of the 18th International Conference on VLSI Design (VLSI Design 2005), 2005

PSK Signalling on NoC Buses.
Proceedings of the Integrated Circuit and System Design, 2005

On-Line Testing of Globally Asynchronous Circuits.
Proceedings of the 11th IEEE International On-Line Testing Symposium (IOLTS 2005), 2005

Power-Balanced Self Checking Circuits for Cryptographic Chips.
Proceedings of the 11th IEEE International On-Line Testing Symposium (IOLTS 2005), 2005

2004
Design and Analysis of a Self-Timed Duplex Communication System.
IEEE Trans. Computers, 2004

A Low and Balanced Power Implementation of the AES Security Mechanism Using Self-Timed Circuits.
Proceedings of the Integrated Circuit and System Design, 2004

Improving the Security of Dual-Rail Circuits.
Proceedings of the Cryptographic Hardware and Embedded Systems, 2004

2003
On-chip structures for timing measurement and test.
Microprocess. Microsystems, 2003

STG Optimisation in the Direct Mapping of Asynchronous Circuits .
Proceedings of the 2003 Design, 2003

Visualization and Resolution of Coding Conflicts in Asynchronous Circuit Design.
Proceedings of the 2003 Design, 2003

Low-Latency Contro Structures with Slack.
Proceedings of the 9th International Symposium on Advanced Research in Asynchronous Circuits and Systems (ASYNC 2003), 2003

2002
Synchronization circuit performance.
IEEE J. Solid State Circuits, 2002

Visualization of Coding Conflicts in Asynchronous Circuit Design.
Proceedings of the 11th IEEE/ACM International Workshop on Logic & Synthesis, 2002

Synthesis of Asynchronous Circuits with Predictable Latency.
Proceedings of the 11th IEEE/ACM International Workshop on Logic & Synthesis, 2002

Analysis of the oscillation problem in tri-flops.
Proceedings of the 2002 International Symposium on Circuits and Systems, 2002

Visualization of Partial Order Models in VLSI Design Flow.
Proceedings of the 2002 Design, 2002

On-Chip Structures for Timing Measurements and Test.
Proceedings of the 8th International Symposium on Advanced Research in Asynchronous Circuits and Systems (ASYNC 2002), 2002

Asynchronous Circuit Synthesis by Direct Mapping: Interfacing to Environment.
Proceedings of the 8th International Symposium on Advanced Research in Asynchronous Circuits and Systems (ASYNC 2002), 2002

2001
Verification of Estelle-Specified Communication Protocols Using High-Level Petri Nets.
Program. Comput. Softw., 2001

2000
Semi-modular Latch Chains for Asynchronous Circuit Design.
Proceedings of the Integrated Circuit Design, 2000

Asynchronous Communication Mechanisms Using Self-Timed Circuits.
Proceedings of the 6th International Symposium on Advanced Research in Asynchronous Circuits and Systems (ASYNC 2000), 2000

Priority Arbiters.
Proceedings of the 6th International Symposium on Advanced Research in Asynchronous Circuits and Systems (ASYNC 2000), 2000

1999
Implementing Model Checking and Equivalence Checking for Time Petri Nets by the RT-MEC Tool.
Proceedings of the Parallel Computing Technologies, 1999

1995
Petri Net Modelling of Estelle-specified Communication Protocols.
Proceedings of the Parallel Computing Technologies, 1995


  Loading...