Andrey Mokhov

Orcid: 0000-0002-2493-3177

According to our database1, Andrey Mokhov authored at least 79 papers between 2007 and 2022.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2022
United Monoids - Finding Simplicial Sets and Labelled Algebraic Graphs in Trees.
Art Sci. Eng. Program., 2022

2020
Design and Implementation of Reconfigurable Asynchronous Pipelines.
IEEE Trans. Very Large Scale Integr. Syst., 2020

Formal Verification of Spacecraft Control Programs.
ACM Trans. Embed. Comput. Syst., 2020

Automating the Design of Asynchronous Logic Control for AMS Electronics.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020

Build systems à la carte: Theory and practice.
J. Funct. Program., 2020

Termination detection for fine-grained message-passing architectures.
Proceedings of the 31st IEEE International Conference on Application-specific Systems, 2020

2019
Selective applicative functors.
Proc. ACM Program. Lang., 2019

On the Complexity of Hazard-free Circuits.
J. ACM, 2019

Efficient composition of scenario-based hardware specifications.
IET Comput. Digit. Tech., 2019

Complexity of Linear Operators.
Electron. Colloquium Comput. Complex., 2019

POETS: Distributed Event-Based Computing - Scaling Behaviour.
Proceedings of the Parallel Computing: Technology Trends, 2019

Formal verification of spacecraft control programs (experience report).
Proceedings of the 12th ACM SIGPLAN International Symposium on Haskell, 2019

Generalised Asynchronous Arbiter.
Proceedings of the 19th International Conference on Application of Concurrency to System Design, 2019

2018
High-Level Asynchronous Concepts at the Interface Between Analog and Digital Worlds.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2018

Build systems à la carte.
Proc. ACM Program. Lang., 2018

Compact and efficiently verifiable models for concurrent systems.
Formal Methods Syst. Des., 2018

Formal Verification of Spacecraft Control Programs Using a Metalanguage for State Transformers.
CoRR, 2018

Reconfigurable asynchronous pipelines: From formal models to silicon.
Proceedings of the 2018 Design, Automation & Test in Europe Conference & Exhibition, 2018

Formal Verification of Mixed Synchronous Asynchronous Systems Using Industrial Tools.
Proceedings of the 24th IEEE International Symposium on Asynchronous Circuits and Systems, 2018

Concurrency Oracles for Free.
Proceedings of the International Workshop on Algorithms & Theories for the Analysis of Event Data 2018 Satellite event of the conferences: 39th International Conference on Application and Theory of Petri Nets and Concurrency Petri Nets 2018 and 18th International Conference on Application of Concurrency to System Design ACSD 2018, 2018

2017
An empirical comparison of formalisms for modelling and analysis of dynamic reconfiguration of dependable systems.
Formal Aspects Comput., 2017

Voltage, Throughput, Power, Reliability, and Multicore Scaling.
Computer, 2017

Distributed Event-Based Computing.
Proceedings of the Parallel Computing is Everywhere, 2017

Asynchronous Arbitration Primitives for New Generation of Circuits and Systems.
Proceedings of the New Generation of CAS, 2017

Ultra-Low Energy Data Driven Computing Using Asynchronous Micropipelines and Nano-Electro-Mechanical Relays.
Proceedings of the 2017 IEEE Computer Society Annual Symposium on VLSI, 2017

Programming Model to Develop Supercomputer Combinatorial Solvers.
Proceedings of the 46th International Conference on Parallel Processing Workshops, 2017

Algebraic graphs with class (functional pearl).
Proceedings of the 10th ACM SIGPLAN International Symposium on Haskell, 2017

Language and Hardware Acceleration Backend for Graph Processing.
Proceedings of the Languages, Design Methods, and Tools for Electronic System Design, 2017

Lifetime reliability characterization of N/MEMS used in power gating of digital integrated circuits.
Proceedings of the IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2017

Benefits of asynchronous control for analog electronics: Multiphase buck case study.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2017

WAITX: An Arbiter for Non-persistent Signals.
Proceedings of the 23rd IEEE International Symposium on Asynchronous Circuits and Systems, 2017

Xprova: Formal Verification Tool with Built-in Metastability Modeling.
Proceedings of the 17th International Conference on Application of Concurrency to System Design, 2017

Process Windows.
Proceedings of the 17th International Conference on Application of Concurrency to System Design, 2017

Reduction of Order Structures.
Proceedings of the 17th International Conference on Application of Concurrency to System Design, 2017

Formal Design and Verification of an Asynchronous SRAM Controller.
Proceedings of the 17th International Conference on Application of Concurrency to System Design, 2017

2016
Mining Conditional Partial Order Graphs from Event Logs.
Trans. Petri Nets Other Model. Concurr., 2016

MEMS-based power delivery control for bursty applications.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2016

Non-recursive make considered harmful: build systems at scale.
Proceedings of the 9th International Symposium on Haskell, 2016

Desugaring Haskell's do-notation into applicative operations.
Proceedings of the 9th International Symposium on Haskell, 2016

Formal verification of clock domain crossing using gate-level models of metastable flip-flops.
Proceedings of the 2016 Design, Automation & Test in Europe Conference & Exhibition, 2016

2015
Guest Editorial for Special Issue Application of Concurrency to System Design.
ACM Trans. Embed. Comput. Syst., 2015

On hyperbolic laws of capacitor discharge through self-timed digital loads.
Int. J. Circuit Theory Appl., 2015

Algebra of switching networks.
IET Comput. Digit. Tech., 2015

Compositional design of asynchronous circuits from behavioural concepts.
Proceedings of the 13. ACM/IEEE International Conference on Formal Methods and Models for Codesign, 2015

Building Bridges Between Sets of Partial Orders.
Proceedings of the Language and Automata Theory and Applications, 2015

Design and Verification of Speed-Independent Multiphase Buck Controller.
Proceedings of the 21st IEEE International Symposium on Asynchronous Circuits and Systems, 2015

Opportunistic Merge Element.
Proceedings of the 21st IEEE International Symposium on Asynchronous Circuits and Systems, 2015

Event Log Visualisation with Conditional Partial Order Graphs: from Control Flow to Data.
Proceedings of the International Workshop on Algorithms & Theories for the Analysis of Event Data, 2015

A Heuristic Algorithm for Deriving Compact Models of Processor Instruction Sets.
Proceedings of the 15th International Conference on Application of Concurrency to System Design, 2015

2014
Algebra of Parameterised Graphs.
ACM Trans. Embed. Comput. Syst., 2014

Synthesis of Processor Instruction Sets from High-Level ISA Specifications.
IEEE Trans. Computers, 2014

On Formalisms for Dynamic Reconfiguration of Dependable Systems.
CoRR, 2014

Direct Construction of Complete Merged Processes.
Comput. J., 2014

A scalable physical model for Nano-Electro-Mechanical relays.
Proceedings of the 24th International Workshop on Power and Timing Modeling, 2014

ArchOn: Architecture-open Resource-driven Cross-layer Modelling Framework.
Proceedings of the International Workshop on Engineering Simulations for Cyber-Physical Systems, 2014

Design of safety critical systems by refinement.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2014

Studying the Interplay of Concurrency, Performance, Energy and Reliability with ArchOn - An Architecture-Open Resource-Driven Cross-Layer Modelling Framework.
Proceedings of the 14th International Conference on Application of Concurrency to System Design, 2014

2013
Concurrent Multiresource Arbiter: Design and Applications.
IEEE Trans. Computers, 2013

Design-for-adaptivity of microarchitectures.
Proceedings of the 24th International Conference on Application-Specific Systems, 2013

2012
Mixed Radix Reed-Muller Expansions.
IEEE Trans. Computers, 2012

Towards power-elastic systems through concurrency management.
IET Comput. Digit. Tech., 2012

Adapting Asynchronous Circuits to Operating Conditions by Logic Parametrisation.
Proceedings of the 18th IEEE International Symposium on Asynchronous Circuits and Systems, 2012

On Dual-Rail Control Logic for Enhanced Circuit Robustness.
Proceedings of the 12th International Conference on Application of Concurrency to System Design, 2012

Algebra of Parameterised Graphs.
Proceedings of the 12th International Conference on Application of Concurrency to System Design, 2012

2011
Encoding of processor instruction sets with explicit concurrency control.
IET Comput. Digit. Tech., 2011

Flat Arbiters.
Fundam. Informaticae, 2011

Formal modelling and transformations of processor instruction sets.
Proceedings of the 9th IEEE/ACM International Conference on Formal Methods and Models for Codesign, 2011

An Algorithm for Direct Construction of Complete Merged Processes.
Proceedings of the Applications and Theory of Petri Nets - 32nd International Conference, 2011

Improved Parallel Composition of Labelled Petri Nets.
Proceedings of the 11th International Conference on Application of Concurrency to System Design, 2011

2010
Conditional Partial Order Graphs: Model, Synthesis, and Application.
IEEE Trans. Computers, 2010

Automated Synthesis of Instruction Codes in the Context of Micro-architecture Design.
Proceedings of the 10th International Conference on Application of Concurrency to System Design, 2010

2009
Conditional Partial Order Graphs.
PhD thesis, 2009

Synthesis of Multiple Rail Phase Encoding Circuits.
Proceedings of the 15th IEEE Symposium on Asynchronous Circuits and Systems, 2009

Modular Approach to Multi-resource Arbiter Design.
Proceedings of the 15th IEEE Symposium on Asynchronous Circuits and Systems, 2009

2008
Conditional Partial Order Graphs and Dynamically Reconfigurable Control Synthesis.
Proceedings of the Design, Automation and Test in Europe, 2008

Automated Verification of Asynchronous Circuits Using Circuit Petri Nets.
Proceedings of the 14th IEEE International Symposium on Asynchronous Circuits and Systems, 2008

Verification of conditional partial order graphs.
Proceedings of the 8th International Conference on Application of Concurrency to System Design (ACSD 2008), 2008

2007
Delay/Phase Regeneration Circuits.
Proceedings of the 13th IEEE International Symposium on Asynchronous Circuits and Systems (ASYNC 2007), 2007

Workcraft: A Static Data Flow Structure Editing, Visualisation and Analysis Tool.
Proceedings of the Petri Nets and Other Models of Concurrency, 2007


  Loading...