Armen Kteyan

Orcid: 0000-0002-8743-0155

According to our database1, Armen Kteyan authored at least 12 papers between 2009 and 2024.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2024
Warpage Study by Employing an Advanced Simulation Methodology for Assessing Chip Package Interaction Effects.
Proceedings of the 2024 International Symposium on Physical Design, 2024

2023
Electromigration Assessment in Power Grids with Account of Redundancy and Non-Uniform Temperature Distribution.
Proceedings of the 2023 International Symposium on Physical Design, 2023

Studying the Impact of Temperature Gradient on Electromigration Lifetime Using a Power Grid Test Structure with On-Chip Heaters.
Proceedings of the IEEE International Reliability Physics Symposium, 2023

2022
Experimental Validation of a Novel Methodology for Electromigration Assessment in On-Chip Power Grids.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

Novel Methodology for Assessing Chip-Package Interaction Effects onChip Performance.
Proceedings of the ISPD 2022: International Symposium on Physical Design, Virtual Event, Canada, March 27, 2022

Novel methodology for temperature-aware electromigration assessment in on-chip power grid: simulations and experimental validation (Invited).
Proceedings of the IEEE International Reliability Physics Symposium, 2022

2019
Assesment of CPI Stress Impact on IC Reliability and Performance in 2.5D/3D Packages.
Proceedings of the IEEE International Reliability Physics Symposium, 2019

An Accurate Assessment of Chip-Package Interaction is a Key Factor for Designing Resilient 3D IC Systems.
Proceedings of the 2019 International 3D Systems Integration Conference (3DIC), 2019

2016
Physics-Based Electromigration Models and Full-Chip Assessment for Power Grid Networks.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2016

2015
Post placement leakage reduction with stress-enhanced filler cells.
Proceedings of the IEEE/ACM International Symposium on Low Power Electronics and Design, 2015

2012
Multi-scale Simulation Methodology for Stress Assessment in 3D IC: Effect of Die Stacking on Device Performance.
J. Electron. Test., 2012

2009
Control of design specific variation in etch-assisted via pattern transfer by means of full-chip simulation.
Proceedings of the 10th International Symposium on Quality of Electronic Design (ISQED 2009), 2009


  Loading...