Valeriy Sukharev

Orcid: 0000-0002-5647-0584

According to our database1, Valeriy Sukharev authored at least 33 papers between 2001 and 2024.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2024
Warpage Study by Employing an Advanced Simulation Methodology for Assessing Chip Package Interaction Effects.
Proceedings of the 2024 International Symposium on Physical Design, 2024

2023
Electromigration Assessment in Power Grids with Account of Redundancy and Non-Uniform Temperature Distribution.
Proceedings of the 2023 International Symposium on Physical Design, 2023

Studying the Impact of Temperature Gradient on Electromigration Lifetime Using a Power Grid Test Structure with On-Chip Heaters.
Proceedings of the IEEE International Reliability Physics Symposium, 2023

2022
Experimental Validation of a Novel Methodology for Electromigration Assessment in On-Chip Power Grids.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

Novel Methodology for Assessing Chip-Package Interaction Effects onChip Performance.
Proceedings of the ISPD 2022: International Symposium on Physical Design, Virtual Event, Canada, March 27, 2022

Novel methodology for temperature-aware electromigration assessment in on-chip power grid: simulations and experimental validation (Invited).
Proceedings of the IEEE International Reliability Physics Symposium, 2022

2020
Electromigration Checking Using a Stochastic Effective Current Model.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2020

2019
Efficient Simulation of Electromigration Damage in Large Chip Power Grids Using Accurate Physical Models (Invited Paper).
Proceedings of the IEEE International Reliability Physics Symposium, 2019

Assesment of CPI Stress Impact on IC Reliability and Performance in 2.5D/3D Packages.
Proceedings of the IEEE International Reliability Physics Symposium, 2019

Power Grid Fixing for Electromigration-induced Voltage Failures.
Proceedings of the International Conference on Computer-Aided Design, 2019

An Accurate Assessment of Chip-Package Interaction is a Key Factor for Designing Resilient 3D IC Systems.
Proceedings of the 2019 International 3D Systems Integration Conference (3DIC), 2019

2018
Power Grid Electromigration Checking Using Physics-Based Models.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2018

2017
Dynamic electromigration modeling for transient stress evolution and recovery under time-dependent current and temperature stressing.
Integr., 2017

Fast physics-based electromigration assessment by efficient solution of linear time-invariant (LTI) systems.
Proceedings of the 2017 IEEE/ACM International Conference on Computer-Aided Design, 2017

2016
Physics-Based Electromigration Models and Full-Chip Assessment for Power Grid Networks.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2016

Analytical Modeling and Characterization of Electromigration Effects for Multibranch Interconnect Trees.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2016

Electromigration assessment for power grid networks considering temperature and thermal stress effects.
Integr., 2016

Fast physics-based electromigration checking for on-die power grids.
Proceedings of the 35th International Conference on Computer-Aided Design, 2016

Learning-based dynamic reliability management for dark silicon processor considering EM effects.
Proceedings of the 2016 Design, Automation & Test in Europe Conference & Exhibition, 2016

Physics-based full-chip TDDB assessment for BEOL interconnects.
Proceedings of the 53rd Annual Design Automation Conference, 2016

Electromigration recovery modeling and analysis under time-dependent current and temperature stressing.
Proceedings of the 21st Asia and South Pacific Design Automation Conference, 2016

2015
Post placement leakage reduction with stress-enhanced filler cells.
Proceedings of the IEEE/ACM International Symposium on Low Power Electronics and Design, 2015

Interconnect reliability modeling and analysis for multi-branch interconnect trees.
Proceedings of the 52nd Annual Design Automation Conference, 2015

New electromigration modeling and analysis considering time-varying temperature and current densities.
Proceedings of the 20th Asia and South Pacific Design Automation Conference, 2015

2014
IR-drop based electromigration assessment: parametric failure chip-scale analysis.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2014

Lifetime optimization for real-time embedded systems considering electromigration effects.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2014

Physics-based Electromigration Assessment for Power Grid Networks.
Proceedings of the 51st Annual Design Automation Conference 2014, 2014

2012
Multi-scale Simulation Methodology for Stress Assessment in 3D IC: Effect of Die Stacking on Device Performance.
J. Electron. Test., 2012

2010
Closed-form modeling of layout-dependent mechanical stress.
Proceedings of the 47th Design Automation Conference, 2010

2009
Control of design specific variation in etch-assisted via pattern transfer by means of full-chip simulation.
Proceedings of the 10th International Symposium on Quality of Electronic Design (ISQED 2009), 2009

2005
Physically based simulation of electromigration-induced degradation mechanisms in dual-inlaid copper interconnects.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2005

2004
Physically-Based Simulation of Electromigration Induced Failures in Copper Dual-Damascene Interconnect.
Proceedings of the 5th International Symposium on Quality of Electronic Design (ISQED 2004), 2004

2001
Reliability Studies on Multilevel Interconnection with Intermetal Dielectric Air Gaps.
Microelectron. Reliab., 2001


  Loading...