Arthur Perais

Orcid: 0000-0002-5757-2507

According to our database1, Arthur Perais authored at least 23 papers between 2014 and 2023.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2023
Toward Practical 128-Bit General Purpose Microarchitectures.
IEEE Comput. Archit. Lett., 2023

Branch Target Buffer Organizations.
Proceedings of the 56th Annual IEEE/ACM International Symposium on Microarchitecture, 2023

Rebasing Microarchitectural Research with Industry Traces.
Proceedings of the IEEE International Symposium on Workload Characterization, 2023

2022
Exploring Instruction Fusion Opportunities in General Purpose Processors.
Proceedings of the 55th IEEE/ACM International Symposium on Microarchitecture, 2022

Free atomics: hardware atomic operations without fences.
Proceedings of the ISCA '22: The 49th Annual International Symposium on Computer Architecture, New York, New York, USA, June 18, 2022

2021
A Case for Speculative Strength Reduction.
IEEE Comput. Archit. Lett., 2021

Leveraging Targeted Value Prediction to Unlock New Hardware Strength Reduction Potential.
Proceedings of the MICRO '21: 54th Annual IEEE/ACM International Symposium on Microarchitecture, 2021

2020
Take A Way: Exploring the Security Implications of AMD's Cache Way Predictors.
Proceedings of the ASIA CCS '20: The 15th ACM Asia Conference on Computer and Communications Security, 2020

2019
Elastic Instruction Fetching.
Proceedings of the 25th IEEE International Symposium on High Performance Computer Architecture, 2019

2018
SPF: Selective Pipeline Flush.
Proceedings of the 36th IEEE International Conference on Computer Design, 2018

Cost effective speculation with the omnipredictor.
Proceedings of the 27th International Conference on Parallel Architectures and Compilation Techniques, 2018

2017
On the Interactions Between Value Prediction and Compiler Optimizations in the Context of EOLE.
ACM Trans. Archit. Code Optim., 2017

Storage-Free Memory Dependency Prediction.
IEEE Comput. Archit. Lett., 2017

2016
EOLE: Combining Static and Dynamic Scheduling Through Value Prediction to Reduce Complexity and Increase Performance.
ACM Trans. Comput. Syst., 2016

Register sharing for equality prediction.
Proceedings of the 49th Annual IEEE/ACM International Symposium on Microarchitecture, 2016

Cost effective physical register sharing.
Proceedings of the 2016 IEEE International Symposium on High Performance Computer Architecture, 2016

2015
Increasing the performance of superscalar processors through value prediction. (La prédiction de valeurs comme moyen d'augmenter la performance des processeurs superscalaires).
PhD thesis, 2015

EOLE: Toward a Practical Implementation of Value Prediction.
IEEE Micro, 2015

Long term parking (LTP): criticality-aware resource allocation in OOO processors.
Proceedings of the 48th International Symposium on Microarchitecture, 2015

Cost-effective speculative scheduling in high performance processors.
Proceedings of the 42nd Annual International Symposium on Computer Architecture, 2015

BeBoP: A cost effective predictor infrastructure for superscalar value prediction.
Proceedings of the 21st IEEE International Symposium on High Performance Computer Architecture, 2015

2014
EOLE: Paving the way for an effective implementation of value prediction.
Proceedings of the ACM/IEEE 41st International Symposium on Computer Architecture, 2014

Practical data value speculation for future high-end processors.
Proceedings of the 20th IEEE International Symposium on High Performance Computer Architecture, 2014


  Loading...