Jinjun Xiong

Orcid: 0000-0002-2620-4859

Affiliations:
  • University at Buffalo, Buffalo, NY, USA


According to our database1, Jinjun Xiong authored at least 242 papers between 2002 and 2024.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2024
xMLP: Revolutionizing Private Inference with Exclusive Square Activation.
CoRR, 2024

FL-NAS: Towards Fairness of NAS for Resource Constrained Devices via Large Language Models.
CoRR, 2024

"The teachers are confused as well": A Multiple-Stakeholder Ethics Discussion on Large Language Models in Computing Education.
CoRR, 2024

Ensembler: Combating model inversion attacks using model ensemble during collaborative inference.
CoRR, 2024

AnaDE1.0: A Novel Data Set for Benchmarking Analogy Detection and Extraction.
Proceedings of the 18th Conference of the European Chapter of the Association for Computational Linguistics, 2024

2023
QuadraNet: Improving High-Order Neural Interaction Efficiency with Hardware-Aware Quadratic Neural Networks.
CoRR, 2023

CODAG: Characterizing and Optimizing Decompression Algorithms for GPUs.
CoRR, 2023

Image Harmonization with Diffusion Model.
CoRR, 2023

Distributionally Robust Optimization Efficiently Solves Offline Reinforcement Learning.
CoRR, 2023

CAM: A Large Language Model-based Creative Analogy Mining Framework.
Proceedings of the ACM Web Conference 2023, 2023

SyncTREE: Fast Timing Analysis for Integrated Circuit Design through a Physics-informed Tree-based Graph Neural Network.
Proceedings of the Advances in Neural Information Processing Systems 36: Annual Conference on Neural Information Processing Systems 2023, 2023

BEEP: Balanced Efficient subgraph Enumeration in Parallel.
Proceedings of the 52nd International Conference on Parallel Processing, 2023

Extensible and Efficient Proxy for Neural Architecture Search.
Proceedings of the IEEE/CVF International Conference on Computer Vision, 2023

GPU-Initiated On-Demand High-Throughput Storage Access in the BaM System Architecture.
Proceedings of the 28th ACM International Conference on Architectural Support for Programming Languages and Operating Systems, 2023

Can Language Models Be Specific? How?
Proceedings of the Findings of the Association for Computational Linguistics: ACL 2023, 2023

Parallelizing Maximal Clique Enumeration on GPUs.
Proceedings of the 32nd International Conference on Parallel Architectures and Compilation Techniques, 2023

2022
Exploring HW/SW Co-Design for Video Analysis on CPU-FPGA Heterogeneous Systems.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

VisualNet: An End-to-End Human Visual System Inspired Framework to Reduce Inference Latency of Deep Neural Networks.
IEEE Trans. Computers, 2022

A Moral- and Event- Centric Inspection of Gender Bias in Fairy Tales at A Large Scale.
CoRR, 2022

Excel Spreadsheet Analyzer.
CoRR, 2022

Submission-Aware Reviewer Profiling for Reviewer Recommender System.
CoRR, 2022

Extensible Proxy for Efficient NAS.
CoRR, 2022

Analogy Generation by Prompting Large Language Models: A Case Study of InstructGPT.
CoRR, 2022

HiKonv: Maximizing the Throughput of Quantized Convolution With Novel Bit-wise Management and Computation.
CoRR, 2022

DKG: A Descriptive Knowledge Graph for Explaining Relationships between Entities.
CoRR, 2022

BaM: A Case for Enabling Fine-grain High Throughput GPU-Orchestrated Access to Storage.
CoRR, 2022

How does unlabeled data improve generalization in self-training? A one-hidden-layer theoretical analysis.
CoRR, 2022

A Word is Worth A Thousand Dollars: Adversarial Attack on Tweets Fools Stock Prediction.
Proceedings of the 2022 Conference of the North American Chapter of the Association for Computational Linguistics: Human Language Technologies, 2022

QuadraLib: A Performant Quadratic Neural Network Library for Architecture Optimization and Design Exploration.
Proceedings of Machine Learning and Systems 2022, 2022

Contrastive Learning with Complex Heterogeneity.
Proceedings of the KDD '22: The 28th ACM SIGKDD Conference on Knowledge Discovery and Data Mining, Washington, DC, USA, August 14, 2022

Graph Neural Network Training and Data Tiering.
Proceedings of the KDD '22: The 28th ACM SIGKDD Conference on Knowledge Discovery and Data Mining, Washington, DC, USA, August 14, 2022

PARSEC: PARallel Subgraph Enumeration in CUDA.
Proceedings of the 2022 IEEE International Parallel and Distributed Processing Symposium, 2022

Parallel K-clique counting on GPUs.
Proceedings of the ICS '22: 2022 International Conference on Supercomputing, Virtual Event, June 28, 2022

Generalization Guarantee of Training Graph Convolutional Networks with Graph Topology Sampling.
Proceedings of the International Conference on Machine Learning, 2022

How unlabeled data improve generalization in self-training? A one-hidden-layer theoretical analysis.
Proceedings of the Tenth International Conference on Learning Representations, 2022

DEER: Descriptive Knowledge Graph for Explaining Entity Relationships.
Proceedings of the 2022 Conference on Empirical Methods in Natural Language Processing, 2022

Understanding Jargon: Combining Extraction and Generation for Definition Modeling.
Proceedings of the 2022 Conference on Empirical Methods in Natural Language Processing, 2022

HiKonv: High Throughput Quantized Convolution With Novel Bit-wise Management and Computation.
Proceedings of the 27th Asia and South Pacific Design Automation Conference, 2022

Open Relation Modeling: Learning to Define Relations between Entities.
Proceedings of the Findings of the Association for Computational Linguistics: ACL 2022, 2022

2021
Efficient Methods for Mapping Neural Machine Translator on FPGAs.
IEEE Trans. Parallel Distributed Syst., 2021

Improved Linear Convergence of Training CNNs With Generalizability Guarantees: A One-Hidden-Layer Case.
IEEE Trans. Neural Networks Learn. Syst., 2021

Large Graph Convolutional Network Training with GPU-Oriented Data Communication Architecture.
Proc. VLDB Endow., 2021

Enabling Design Methodologies and Future Trends for Edge AI: Specialization and Codesign.
IEEE Des. Test, 2021

Guest Editors' Introduction: Machine Intelligence at the Edge.
IEEE Des. Test, 2021

Graph Neural Network Training with Data Tiering.
CoRR, 2021

MLHarness: A Scalable Benchmarking System for MLCommons.
CoRR, 2021

Why Lottery Ticket Wins? A Theoretical Perspective of Sample Complexity on Pruned Neural Networks.
CoRR, 2021

Exploration of Quantum Neural Architecture by Mixing Quantum Neuron Designs.
CoRR, 2021

Can Noise on Qubits Be Learned in Quantum Neural Network? A Case Study on QuantumFlow.
CoRR, 2021

Global Rhythm Style Transfer Without Text Transcriptions.
CoRR, 2021

Heterogeneous Contrastive Learning.
CoRR, 2021

K-Clique Counting on GPUs.
CoRR, 2021

Enabling Design Methodologies and Future Trends for Edge AI: Specialization and Co-design.
CoRR, 2021

PyTorch-Direct: Enabling GPU Centric Data Access for Very Large Graph Neural Network Training with Irregular Accesses.
CoRR, 2021

Safer Illinois and RokWall: Privacy Preserving University Health Apps for COVID-19.
CoRR, 2021

PhraseScope: An Effective and Unsupervised Framework for Mining High Quality Phrases.
Proceedings of the 2021 SIAM International Conference on Data Mining, 2021

Why Lottery Ticket Wins? A Theoretical Perspective of Sample Complexity on Sparse Neural Networks.
Proceedings of the Advances in Neural Information Processing Systems 34: Annual Conference on Neural Information Processing Systems 2021, 2021

Generic Neural Architecture Search via Regression.
Proceedings of the Advances in Neural Information Processing Systems 34: Annual Conference on Neural Information Processing Systems 2021, 2021

Global Prosody Style Transfer Without Text Transcriptions.
Proceedings of the 38th International Conference on Machine Learning, 2021

Trillion-scale Graph Processing Simulation based on Top-Down Graph Upscaling.
Proceedings of the 37th IEEE International Conference on Data Engineering, 2021

Interpretable Visual Reasoning via Induced Symbolic Space.
Proceedings of the 2021 IEEE/CVF International Conference on Computer Vision, 2021

HyKernel: A Hybrid Selection of One/Two-Phase Kernels for Triangle Counting on GPUs.
Proceedings of the 2021 IEEE High Performance Extreme Computing Conference, 2021

TEMPI: An Interposed MPI Library with a Canonical Representation of CUDA-aware Datatypes.
Proceedings of the HPDC '21: The 30th International Symposium on High-Performance Parallel and Distributed Computing, 2021

Helios: Heterogeneity-Aware Federated Learning with Dynamically Balanced Collaboration.
Proceedings of the 58th ACM/IEEE Design Automation Conference, 2021

Pseudo-IoU: Improving Label Assignment in Anchor-Free Object Detection.
Proceedings of the IEEE Conference on Computer Vision and Pattern Recognition Workshops, 2021

When Machine Learning Meets Quantum Computers: A Case Study.
Proceedings of the ASPDAC '21: 26th Asia and South Pacific Design Automation Conference, 2021

Graviton: A Reconfigurable Memory-Compute Fabric for Data Intensive Applications.
Proceedings of the Applied Reconfigurable Computing. Architectures, Tools, and Applications, 2021

Measuring Fine-Grained Domain Relevance of Terms: A Hierarchical Core-Fringe Approach.
Proceedings of the 59th Annual Meeting of the Association for Computational Linguistics and the 11th International Joint Conference on Natural Language Processing, 2021

2020
EMOGI: Efficient Memory-access for Out-of-memory Graph-traversal In GPUs.
Proc. VLDB Endow., 2020

Universal approximation with quadratic deep networks.
Neural Networks, 2020

Tensor recovery from noisy and multi-level quantized measurements.
EURASIP J. Adv. Signal Process., 2020

Achieve data privacy and clustering accuracy simultaneously through quantized data recovery.
EURASIP J. Adv. Signal Process., 2020

Fast CUDA-Aware MPI Datatypes without Platform Support.
CoRR, 2020

Efficient Neural Network Implementation with Quadratic Neuron.
CoRR, 2020

Tearing Down the Memory Wall.
CoRR, 2020

Efficient Inference on GPUs for the Sparse Deep Neural Network Graph Challenge 2020.
CoRR, 2020

Can Quantum Computers Learn Like Classical Computers? A Co-Design Framework for Machine Learning and Quantum Circuits.
CoRR, 2020

MLModelScope: A Distributed Platform for Model Evaluation and Benchmarking at Scale.
CoRR, 2020

On Interpretability of Artificial Neural Networks.
CoRR, 2020

DLBricks: Composable Benchmark Generation to Reduce Deep Learning Benchmarking Effort on CPUs.
Proceedings of the ICPE '20: ACM/SPEC International Conference on Performance Engineering, 2020

DLSpec: A Deep Learning Task Exchange Specification.
Proceedings of the 2020 USENIX Conference on Operational Machine Learning, 2020

SkyNet: a Hardware-Efficient Method for Object Detection and Tracking on Embedded Systems.
Proceedings of Machine Learning and Systems 2020, 2020

Uncertainty-Aware Training of Neural Networks for Selective Medical Image Segmentation.
Proceedings of the International Conference on Medical Imaging with Deep Learning, 2020

FReaC Cache: Folded-logic Reconfigurable Computing in the Last Level Cache.
Proceedings of the 53rd Annual IEEE/ACM International Symposium on Microarchitecture, 2020

ICA-UNet: ICA Inspired Statistical UNet for Real-Time 3D Cardiac Cine MRI Segmentation.
Proceedings of the Medical Image Computing and Computer Assisted Intervention - MICCAI 2020, 2020

Multi-Cycle-Consistent Adversarial Networks for CT Image Denoising.
Proceedings of the 17th IEEE International Symposium on Biomedical Imaging, 2020

Node-Aware Stencil Communication for Heterogeneous Supercomputers.
Proceedings of the 2020 IEEE International Parallel and Distributed Processing Symposium Workshops, 2020

Benanza: Automatic μBenchmark Generation to Compute "Lower-bound" Latency and Inform Optimizations of Deep Learning Models on GPUs.
Proceedings of the 2020 IEEE International Parallel and Distributed Processing Symposium (IPDPS), 2020

XSP: Across-Stack Profiling and Analysis of Machine Learning Models on GPUs.
Proceedings of the 2020 IEEE International Parallel and Distributed Processing Symposium (IPDPS), 2020

Fast Learning of Graph Neural Networks with Guaranteed Generalizability: One-hidden-layer Case.
Proceedings of the 37th International Conference on Machine Learning, 2020

Micro - GAGE: A Low-power Compact GAGE Hash Function Processor for IoT Applications.
Proceedings of the 27th IEEE International Conference on Electronics, Circuits and Systems, 2020

DNNExplorer: A Framework for Modeling and Exploring a Novel Paradigm of FPGA-based DNN Accelerator.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2020

Challenges for Building a Cloud Native Scalable and Trustable Multi-tenant AIoT Platform.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2020

At-Scale Sparse Deep Neural Network Inference With Efficient GPU Implementation.
Proceedings of the 2020 IEEE High Performance Extreme Computing Conference, 2020

Effective Algorithm-Accelerator Co-design for AI Solutions on Edge Devices.
Proceedings of the GLSVLSI '20: Great Lakes Symposium on VLSI 2020, 2020

Exploring Semantic Capacity of Terms.
Proceedings of the 2020 Conference on Empirical Methods in Natural Language Processing, 2020

Practical Detection of Trojan Neural Networks: Data-Limited and Data-Free Cases.
Proceedings of the Computer Vision - ECCV 2020, 2020

EDD: Efficient Differentiable DNN Architecture and Implementation Co-search for Embedded AI Solutions.
Proceedings of the 57th ACM/IEEE Design Automation Conference, 2020

Differential Treatment for Stuff and Things: A Simple Unsupervised Domain Adaptation Method for Semantic Segmentation.
Proceedings of the 2020 IEEE/CVF Conference on Computer Vision and Pattern Recognition, 2020

Alleviating Semantic-level Shift: A Semi-supervised Domain Adaptation Method for Semantic Segmentation.
Proceedings of the 2020 IEEE/CVF Conference on Computer Vision and Pattern Recognition, 2020

Revisiting the Evaluation of Uncertainty Estimation and Its Application to Explore Model Complexity-Uncertainty Trade-Off.
Proceedings of the 2020 IEEE/CVF Conference on Computer Vision and Pattern Recognition, 2020

Vertext: An End-to-end AI Powered Conversation Management System for Multi-party Chat Platforms.
Proceedings of the Companion Publication of the 2020 ACM Conference on Computer Supported Cooperative Work and Social Computing, 2020

Guaranteed Convergence of Training Convolutional Neural Networks via Accelerated Gradient Descent.
Proceedings of the 54th Annual Conference on Information Sciences and Systems, 2020

Quantized Higher-Order Tensor Recovery by Exploring Low-Dimensional Structures.
Proceedings of the 54th Asilomar Conference on Signals, Systems, and Computers, 2020

A Multi-Perspective Architecture for Semantic Code Search.
Proceedings of the 58th Annual Meeting of the Association for Computational Linguistics, 2020

The Design and Implementation of a Scalable Deep Learning Benchmarking Platform.
Proceedings of the 13th IEEE International Conference on Cloud Computing, 2020

2019
Automatic Curation of Sports Highlights Using Multimodal Excitement Features.
IEEE Trans. Multim., 2019

ELFISH: Resource-Aware Federated Learning on Heterogeneous Edge Devices.
CoRR, 2019

Enabling real-time multi-messenger astrophysics discoveries with deep learning.
CoRR, 2019

The Design and Implementation of a Scalable DL Benchmarking Platform.
CoRR, 2019

Across-Stack Profiling and Characterization of Machine Learning Models on GPUs.
CoRR, 2019

SkyNet: A Champion Model for DAC-SDC on Low Power Object Detection.
CoRR, 2019

A Retrospective Recount of Computer Architecture Research with a Data-Driven Study of Over Four Decades of ISCA Publications.
CoRR, 2019

A Bi-Directional Co-Design Approach to Enable Deep Learning on IoT Devices.
CoRR, 2019

Challenges and Pitfalls of Reproducing Machine Learning Artifacts.
CoRR, 2019

Evaluation of Neural Network Uncertainty Estimation with Application to Resource-Constrained Platforms.
CoRR, 2019

Deep Learning for Multi-Messenger Astrophysics: A Gateway for Discovery in the Big Data Era.
CoRR, 2019

Evaluating Characteristics of CUDA Communication Primitives on High-Bandwidth Interconnects.
Proceedings of the 2019 ACM/SPEC International Conference on Performance Engineering, 2019

Faceted Hierarchy: A New Graph Type to Organize Scientific Concepts and a Construction Method.
Proceedings of the Thirteenth Workshop on Graph-Based Methods for Natural Language Processing, 2019

MLModelScope: Evaluate and Introspect Cognitive Pipelines.
Proceedings of the 2019 IEEE World Congress on Services, 2019

Reinforcement Learning Based Text Style Transfer without Parallel Training Corpus.
Proceedings of the 2019 Conference of the North American Chapter of the Association for Computational Linguistics: Human Language Technologies, 2019

DeepStore: In-Storage Acceleration for Intelligent Queries.
Proceedings of the 52nd Annual IEEE/ACM International Symposium on Microarchitecture, 2019

MSU-Net: Multiscale Statistical U-Net for Real-Time 3D Cardiac MRI Video Segmentation.
Proceedings of the Medical Image Computing and Computer Assisted Intervention - MICCAI 2019, 2019

Near-Memory and In-Storage FPGA Acceleration for Emerging Cognitive Computing Workloads.
Proceedings of the 2019 IEEE Computer Society Annual Symposium on VLSI, 2019

Accelerating reduction and scan using tensor core units.
Proceedings of the ACM International Conference on Supercomputing, 2019

On the Universal Approximability and Complexity Bounds of Quantized ReLU Neural Networks.
Proceedings of the 7th International Conference on Learning Representations, 2019

Learning Motion in Feature Space: Locally-Consistent Deformable Convolution Networks for Fine-Grained Action Detection.
Proceedings of the 2019 IEEE/CVF International Conference on Computer Vision, 2019

SPGNet: Semantic Prediction Guidance for Scene Parsing.
Proceedings of the 2019 IEEE/CVF International Conference on Computer Vision, 2019

NAIS: Neural Architecture and Implementation Search and its Applications in Autonomous Driving.
Proceedings of the International Conference on Computer-Aided Design, 2019

Update on Triangle Counting on GPU.
Proceedings of the 2019 IEEE High Performance Extreme Computing Conference, 2019

Accelerating Sparse Deep Neural Networks on FPGAs.
Proceedings of the 2019 IEEE High Performance Extreme Computing Conference, 2019

Update on k-truss Decomposition on GPU.
Proceedings of the 2019 IEEE High Performance Extreme Computing Conference, 2019

Analysis and Optimization of I/O Cache Coherency Strategies for SoC-FPGA Device.
Proceedings of the 29th International Conference on Field Programmable Logic and Applications, 2019

PaRe: A Paper-Reviewer Matching Approach Using a Common Topic Space.
Proceedings of the 2019 Conference on Empirical Methods in Natural Language Processing and the 9th International Joint Conference on Natural Language Processing, 2019

FPGA/DNN Co-Design: An Efficient Design Methodology for IoT Intelligence on the Edge.
Proceedings of the 56th Annual Design Automation Conference 2019, 2019

Equipping Educational Applications with Domain Knowledge.
Proceedings of the Fourteenth Workshop on Innovative Use of NLP for Building Educational Applications, 2019

FlatFlash: Exploiting the Byte-Accessibility of SSDs within a Unified Memory-Storage Hierarchy.
Proceedings of the Twenty-Fourth International Conference on Architectural Support for Programming Languages and Operating Systems, 2019

Implementing neural machine translation with bi-directional GRU and attention mechanism on FPGAs using HLS.
Proceedings of the 24th Asia and South Pacific Design Automation Conference, 2019

SCNN: A General Distribution Based Statistical Convolutional Neural Network with Application to Video Object Detection.
Proceedings of the Thirty-Third AAAI Conference on Artificial Intelligence, 2019

TrIMS: Transparent and Isolated Model Sharing for Low Latency Deep Learning Inference in Function-as-a-Service.
Proceedings of the 12th IEEE International Conference on Cloud Computing, 2019

2018
Data Recovery and Subspace Clustering From Quantized and Corrupted Measurements.
IEEE J. Sel. Top. Signal Process., 2018

MLModelScope: Evaluate and Measure ML Models within AI Pipelines.
CoRR, 2018

TrIMS: Transparent and Isolated Model Sharing for Low Latency Deep LearningInference in Function as a Service Environments.
CoRR, 2018

A Simple Non-i.i.d. Sampling Approach for Efficient Training and Better Generalization.
CoRR, 2018

Locally-Consistent Deformable Convolution Networks for Fine-Grained Action Detection.
CoRR, 2018

Decoupled Classification Refinement: Hard False Positive Suppression for Object Detection.
CoRR, 2018

SCOPE: C3SR Systems Characterization and Benchmarking Framework.
CoRR, 2018

TS2C: Tight Box Mining with Surrounding Segmentation Context for Weakly Supervised Object Detection.
CoRR, 2018

NUMA-Aware Data-Transfer Measurements for Power/NVLink Multi-GPU Systems.
Proceedings of the High Performance Computing, 2018

Application-Transparent Near-Memory Processing Architecture with Memory Channel Network.
Proceedings of the 51st Annual IEEE/ACM International Symposium on Microarchitecture, 2018

Computational Creativity for Valid Rube Goldberg Machines.
Proceedings of the Ninth International Conference on Computational Creativity, 2018

DNNBuilder: an automated tool for building high-performance DNN hardware accelerators for FPGAs.
Proceedings of the International Conference on Computer-Aided Design, 2018

Collaborative (CPU + GPU) Algorithms for Triangle Counting and Truss Decomposition.
Proceedings of the 2018 IEEE High Performance Extreme Computing Conference, 2018

Triangle Counting and Truss Decomposition using FPGA.
Proceedings of the 2018 IEEE High Performance Extreme Computing Conference, 2018

Face Recognition with Hybrid Efficient Convolution Algorithms on FPGAs.
Proceedings of the 2018 on Great Lakes Symposium on VLSI, 2018

AccDNN: An IP-Based DNN Generator for FPGAs.
Proceedings of the 26th IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2018

TS ^2 2 C: Tight Box Mining with Surrounding Segmentation Context for Weakly Supervised Object Detection.
Proceedings of the Computer Vision - ECCV 2018, 2018

Revisiting RCNN: On Awakening the Classification Power of Faster RCNN.
Proceedings of the Computer Vision - ECCV 2018, 2018

The Excitement of Sports: Automatic Highlights Using Audio/Visual Cues.
Proceedings of the 2018 IEEE Conference on Computer Vision and Pattern Recognition Workshops, 2018

Optimizing Boiler Control in Real-Time with Machine Learning for Sustainability.
Proceedings of the 27th ACM International Conference on Information and Knowledge Management, 2018

Biomedical Image Segmentation Using Fully Convolutional Networks on TrueNorth.
Proceedings of the 31st IEEE International Symposium on Computer-Based Medical Systems, 2018

Tutorial-1: Machine learning and deep learning.
Proceedings of the 23rd Asia and South Pacific Design Automation Conference, 2018

Large-scale short-term urban taxi demand forecasting using deep learning.
Proceedings of the 23rd Asia and South Pacific Design Automation Conference, 2018

Document Similarity for Texts of Varying Lengths via Hidden Topics.
Proceedings of the 56th Annual Meeting of the Association for Computational Linguistics, 2018

2017
Demand-Side Management of Domestic Electric Water Heaters Using Approximate Dynamic Programming.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2017

Heterogeneous Computing Meets Near-Memory Acceleration and High-Level Synthesis in the Post-Moore Era.
IEEE Micro, 2017

Interpretable and Globally Optimal Prediction for Textual Grounding using Image Concepts.
Proceedings of the Advances in Neural Information Processing Systems 30: Annual Conference on Neural Information Processing Systems 2017, 2017

Rebooting the Data Access Hierarchy of Computing Systems.
Proceedings of the IEEE International Conference on Rebooting Computing, 2017

Collaborative (CPU + GPU) algorithms for triangle counting and truss decomposition on the Minsky architecture: Static graph challenge: Subgraph isomorphism.
Proceedings of the 2017 IEEE High Performance Extreme Computing Conference, 2017

2016
On the Optimal Threshold Voltage Computation of On-Chip Noise Sensors.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2016

Asset health management using predictive and prescriptive analytics for the electric power grid.
IBM J. Res. Dev., 2016

Novel applications of deep learning hidden features for adaptive testing.
Proceedings of the 21st Asia and South Pacific Design Automation Conference, 2016

2015
Multi-threading based parallel dynamic simulator for transient behavior analysis of power systems.
Proceedings of the 2015 IEEE International Conference on Smart Grid Communications, 2015

Optimal selected phasor measurement units for identifying multiple line outages in smart grid.
Proceedings of the 2015 IEEE Power & Energy Society Innovative Smart Grid Technologies Conference, 2015

Modern Big Data Analytics for "Old-fashioned" Semiconductor Industry Applications.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2015

A Resource Supply-Demand based Approach for Automatic MapReduce Job Optimization.
Proceedings of the 17th IEEE International Conference on High Performance Computing and Communications, 2015

2014
On the Deployment of On-Chip Noise Sensors.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2014

A novel grid load management technique using electric water heaters and Q-learning.
Proceedings of the 2014 IEEE International Conference on Smart Grid Communications, 2014

Optimal PMU placement for identification of multiple power line outages in smart grids.
Proceedings of the IEEE 57th International Midwest Symposium on Circuits and Systems, 2014

Novel geospatial interpolation analytics for general meteorological measurements.
Proceedings of the 20th ACM SIGKDD International Conference on Knowledge Discovery and Data Mining, 2014

Ambiguity group based location recognition for multiple power line outages in smart grids.
Proceedings of the IEEE PES Innovative Smart Grid Technologies Conference, 2014

Real time anomaly detection in wide area monitoring of smart grids.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2014

Variation aware optimal threshold voltage computation for on-chip noise sensors.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2014

MSim: A general cycle accurate simulation platform for memcomputing studies.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2014

2013
Order statistics for correlated random variables and its application to at-speed testing.
ACM Trans. Design Autom. Electr. Syst., 2013

Eagle-eye: a near-optimal statistical framework for noise sensor placement.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2013

2012
Fourier Series Approximation for Max Operation in Non-Gaussian and Quadratic Statistical Static Timing Analysis.
IEEE Trans. Very Large Scale Integr. Syst., 2012

Fast Statistical Full-Chip Leakage Analysis for Nanometer VLSI Systems.
ACM Trans. Design Autom. Electr. Syst., 2012

Testability-Driven Statistical Path Selection.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2012

Path Criticality Computation in Parameterized Statistical Timing Analysis Using a Novel Operator.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2012

A dynamic method for efficient random mismatch characterization of standard cells.
Proceedings of the 2012 IEEE/ACM International Conference on Computer-Aided Design, 2012

Timing analysis with nonseparable statistical and deterministic variations.
Proceedings of the 49th Annual Design Automation Conference 2012, 2012

Reversible statistical <i>max/min</i> operation: concept and applications to timing.
Proceedings of the 49th Annual Design Automation Conference 2012, 2012

A distributed scheme for fair EV charging under transmission constraints.
Proceedings of the American Control Conference, 2012

2011
Runtime Resonance Noise Reduction with Current Prediction Enabled Frequency Actuator.
IEEE Trans. Very Large Scale Integr. Syst., 2011

Contingency constrained economic dispatch in smart grids with correlated demands.
Proceedings of the IEEE Second International Conference on Smart Grid Communications, 2011

Optimal statistical chip disposition.
Proceedings of the 2011 IEEE/ACM International Conference on Computer-Aided Design, 2011

Acceleration of Multi-agent Simulation on FPGAs.
Proceedings of the International Conference on Field Programmable Logic and Applications, 2011

Path criticality computation in parameterized statistical timing analysis.
Proceedings of the 16th Asia South Pacific Design Automation Conference, 2011

2010
Statistical Path Selection for At-Speed Test.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2010

A linear statistical analysis for full-chip leakage power with spatial correlation.
Proceedings of the 20th ACM Great Lakes Symposium on VLSI 2009, 2010

A linear algorithm for full-chip statistical leakage power analysis considering weak spatial correlation.
Proceedings of the 47th Design Automation Conference, 2010

Transistor sizing of custom high-performance digital circuits with parametric yield considerations.
Proceedings of the 47th Design Automation Conference, 2010

2009
Optimal Test Margin Computation for At-Speed Structural Test.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2009

Non-Gaussian Statistical Timing Analysis Using Second-Order Polynomial Fitting.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2009

Statistical Power Analysis for High-Performance Processors.
J. Low Power Electron., 2009

Voltage binning under process variation.
Proceedings of the 2009 International Conference on Computer-Aided Design, 2009

Statistical ordering of correlated timing quantities and its application for path ranking.
Proceedings of the 46th Design Automation Conference, 2009

Statistical multilayer process space coverage for at-speed test.
Proceedings of the 46th Design Automation Conference, 2009

Incremental and on-demand random walk for iterative power distribution network analysis.
Proceedings of the 14th Asia South Pacific Design Automation Conference, 2009

Stochastic current prediction enabled frequency actuator for runtime resonance noise reduction.
Proceedings of the 14th Asia South Pacific Design Automation Conference, 2009

2008
Efficient Decoupling Capacitance Budgeting Considering Operation and Process Variations.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2008

Fashion: A Fast and Accurate Solution to Global Routing Problem.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2008

Statistical Modeling and Analysis of Static Leakage and Dynamic Switching Power.
Proceedings of the Integrated Circuit and System Design. Power and Timing Modeling, 2008

An Efficient Method for Chip-Level Statistical Capacitance Extraction Considering Process Variations with Spatial Correlation.
Proceedings of the Design, Automation and Test in Europe, 2008

Optimal Margin Computation for At-Speed Test.
Proceedings of the Design, Automation and Test in Europe, 2008

Incremental Criticality and Yield Gradients.
Proceedings of the Design, Automation and Test in Europe, 2008

Static timing: Back to our roots.
Proceedings of the 13th Asia South Pacific Design Automation Conference, 2008

2007
Robust Extraction of Spatial Correlation.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2007

Probabilistic Transitive-Closure Ordering and Its Application on Variational Buffer Insertion.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2007

Simultaneous Buffer Insertion and Wire Sizing Considering Systematic CMP Variation and Random L<sub>eff</sub> Variation.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2007

Full-chip multilevel routing for power and signal integrity.
Integr., 2007

Compact modeling of variational waveforms.
Proceedings of the 2007 International Conference on Computer-Aided Design, 2007

Variation-aware performance verification using at-speed structural test and statistical timing.
Proceedings of the 2007 International Conference on Computer-Aided Design, 2007

Non-Linear Statistical Static Timing Analysis for Non-Gaussian Variation Sources.
Proceedings of the 44th Design Automation Conference, 2007

DpRouter: A Fast and Accurate Dynamic-Pattern-Based Global Routing Algorithm.
Proceedings of the 12th Conference on Asia South Pacific Design Automation, 2007

2006
Fast buffer insertion considering process variations.
Proceedings of the 2006 International Symposium on Physical Design, 2006

FPGA Performance Optimization Via Chipwise Placement Considering Process Variations.
Proceedings of the 2006 International Conference on Field Programmable Logic and Applications (FPL), 2006

Criticality computation in parameterized statistical timing.
Proceedings of the 43rd Design Automation Conference, 2006

Constraint driven I/O planning and placement for chip-package co-design.
Proceedings of the 2006 Conference on Asia South Pacific Design Automation: ASP-DAC 2006, 2006

Techniques of Power-gating to Kill Sub-Threshold Leakage.
Proceedings of the IEEE Asia Pacific Conference on Circuits and Systems 2006, 2006

2005
Extended global routing with RLC crosstalk constraints.
IEEE Trans. Very Large Scale Integr. Syst., 2005

Simultaneous buffer insertion and wire sizing considering systematic CMP variation and random leff variation.
Proceedings of the 2005 International Symposium on Physical Design, 2005

Buffer Insertion Considering Process Variation.
Proceedings of the 2005 Design, 2005

Probabilistic congestion model considering shielding for crosstalk reduction.
Proceedings of the 2005 Conference on Asia South Pacific Design Automation, 2005

A Min-area Solution to Performance and RLC Crosstalk Driven Global Routing Problem.
Proceedings of the 2005 Conference on Asia South Pacific Design Automation, 2005

2004
Full-chip routing optimization with RLC crosstalk budgeting.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2004

On optimal physical synthesis of sleep transistors.
Proceedings of the 2004 International Symposium on Physical Design, 2004

Shielding area optimization under the solution of interconnect crosstalk.
Proceedings of the 2004 International Symposium on Circuits and Systems, 2004

Performance and RLC crosstalk driven global routing.
Proceedings of the 2004 International Symposium on Circuits and Systems, 2004

2003
Effects of Load and Speed on Lumbar Vertebral Kinematics during Lifting Motions.
Hum. Factors, 2003

2002
Post global routing RLC crosstalk budgeting.
Proceedings of the 2002 IEEE/ACM International Conference on Computer-aided Design, 2002


  Loading...