Twan Basten

Orcid: 0000-0002-2274-7274

Affiliations:
  • Eindhoven University of Technology, Netherlands


According to our database1, Twan Basten authored at least 220 papers between 1994 and 2024.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2024
Visualization, transformation, and analysis of execution traces with the eclipse TRACE4CPS trace tool.
Int. J. Softw. Tools Technol. Transf., February, 2024

2023
Efficient Computation of the Max-Plus Semantics of Synchronous Dataflow Graphs.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., October, 2023

Modeling and analysis of switching max-plus linear systems with discrete-event feedback.
Discret. Event Dyn. Syst., September, 2023

Time- and Behavior-Preserving Execution of Determinate Supervisory Control.
CoRR, 2023

Experiences and Lessons from Introducing Model-Based Analysis in Brown-Field Product Family Development.
Proceedings of the 11th International Conference on Model-Based Software and Systems Engineering, 2023

2022
Partial-Order Reduction for Supervisory Controller Synthesis.
IEEE Trans. Autom. Control., 2022

Receiver Design With an Adjustable Energy-Signal-Quality Tradeoff for IoT Networks.
IEEE Internet Things J., 2022

Delay-Aware Multi-Layer Multi-Rate Model Predictive Control for Vehicle Platooning Under Message-Rate Congestion Control.
IEEE Access, 2022

Minesweeper is Difficult Indeed! - Technology Scaling for Minesweeper Circuits.
Proceedings of the A Journey from Process Algebra via Timed Automata to Model Learning, 2022

2021
Design and management of image processing pipelines within CPS: Acquired experience towards the end of the FitOptiVis ECSEL Project.
Microprocess. Microsystems, November, 2021

Interface Modeling for Quality and Resource Management.
Log. Methods Comput. Sci., 2021

Receiver-Sensitivity Control for Energy-Efficient IoT Networks.
IEEE Commun. Lett., 2021

Reconfigurable Pipelined Control Systems.
IEEE Des. Test, 2021

Optimizing Multiprocessor Image-Based Control Through Pipelining and Parallelism.
IEEE Access, 2021

Model-driven system-performance engineering for cyber-physical systems.
Proceedings of the EMSOFT '21: Proceedings of the 2021 International Conference on Embedded Software, Virtual Event, October 8, 2021

2020
Firmness Analysis of Real-time Tasks.
ACM Trans. Embed. Comput. Syst., 2020

Schedule Synthesis for Halide Pipelines on GPUs.
ACM Trans. Archit. Code Optim., 2020

A scenario- and platform-aware design flow for image-based control systems.
Microprocess. Microsystems, 2020

Performance Analysis of Embedded Platoon Controllers.
Proceedings of the 91st IEEE Vehicular Technology Conference, 2020

Programming tensor cores from an image processing DSL.
Proceedings of the SCOPES '20: 23rd International Workshop on Software and Compilers for Embedded Systems, 2020

QRML: A Component Language and Toolset for Quality and Resource Management.
Proceedings of the Forum for Specification and Design Languages, 2020

Design and management of image processing pipelines within CPS: 2 years of experience from the FitOptiVis ECSEL Project.
Proceedings of the 23rd Euromicro Conference on Digital System Design, 2020

Trading Sensitivity for Power in an IEEE 802.15.4 Conformant Adequate Demodulator.
Proceedings of the 2020 Design, Automation & Test in Europe Conference & Exhibition, 2020

Approximation Trade Offs in an Image-Based Control System.
Proceedings of the 2020 Design, Automation & Test in Europe Conference & Exhibition, 2020

Adaptive predictive control for pipelined multiprocessor image-based control systems considering workload variations.
Proceedings of the 59th IEEE Conference on Decision and Control, 2020

2019
Monotonic Optimization of Dataflow Buffer Sizes.
J. Signal Process. Syst., 2019

Topology Management and TSCH Scheduling for Low-Latency Convergecast in In-Vehicle WSNs.
IEEE Trans. Ind. Informatics, 2019

Parametric Scheduler Characterization.
ACM Trans. Embed. Comput. Syst., 2019

Designing a Controller with Image-based Pipelined Sensing and Additive Uncertainties.
ACM Trans. Cyber Phys. Syst., 2019

Schedule Synthesis for Halide Pipelines through Reuse Analysis.
ACM Trans. Archit. Code Optim., 2019

IMACS: A Framework for Performance Evaluation of Image Approximation in a Closed-loop System.
Proceedings of the 8th Mediterranean Conference on Embedded Computing, 2019

Control of Platooned Vehicles in Presence of Traffic Shock Waves.
Proceedings of the 2019 IEEE Intelligent Transportation Systems Conference, 2019

Implementation-aware design of image-based control with on-line measurable variable-delay.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2019

Trading Digital Accuracy for Power in an RSSI Computation of a Sensor Network Transceiver.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2019

The FitOptiVis ECSEL project: highly efficient distributed embedded image/video processing in cyber-physical systems.
Proceedings of the 16th ACM International Conference on Computing Frontiers, 2019

Designing image-based control systems considering workload variations.
Proceedings of the 58th IEEE Conference on Decision and Control, 2019

2018
Dependable Interference-Aware Time-Slotted Channel Hopping for Wireless Sensor Networks.
ACM Trans. Sens. Networks, 2018

Scalable Analysis for Multi-Scale Dataflow Models.
ACM Trans. Embed. Comput. Syst., 2018

Parametric Critical Path Analysis for Event Networks With Minimal and Maximal Time Lags.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2018

Guard-Time Design for Symmetric Synchronization in IEEE 802.15.4 Time-Slotted Channel Hopping.
Proceedings of the 87th IEEE Vehicular Technology Conference, 2018

Throughput-Buffering Trade-Off Analysis for Scenario-Aware Dataflow Models.
Proceedings of the 26th International Conference on Real-Time Networks and Systems, 2018

Firmness Analysis of Real-Time Applications Under Static-Priority Preemptive Scheduling.
Proceedings of the IEEE Real-Time and Embedded Technology and Applications Symposium, 2018

Hybrid Timeslot Design for IEEE 802.15.4 TSCH to Support Heterogeneous WSNs.
Proceedings of the 29th IEEE Annual International Symposium on Personal, 2018

Understanding the Impact of Circuit-Level Inaccuracy on Sensor Network Performance.
Proceedings of the 15th ACM International Symposium on Performance Evaluation of Wireless Ad Hoc, 2018

Robust co-synthesis of embedded control systems with occasional deadline misses.
Proceedings of the 24th IEEE International Symposium on On-Line Testing And Robust System Design, 2018

Optimising Quality-of-Control for Data-Intensive Multiprocessor Image-Based Control Systems Considering Workload Variations.
Proceedings of the 21st Euromicro Conference on Digital System Design, 2018

Timing Prediction for Service-Based Applications Mapped on Linux-Based Multi-core Platforms.
Proceedings of the 21st Euromicro Conference on Digital System Design, 2018

Co-simulation Framework for Control, Communication and Traffic for Vehicle Platoons.
Proceedings of the 21st Euromicro Conference on Digital System Design, 2018

Compositional Dataflow Modelling for Cyclo-Static Applications.
Proceedings of the 21st Euromicro Conference on Digital System Design, 2018

Loop transformations leveraging hardware prefetching.
Proceedings of the 2018 International Symposium on Code Generation and Optimization, 2018

Partial-Order Reduction for Performance Analysis of Max-Plus Timed Systems.
Proceedings of the 18th International Conference on Application of Concurrency to System Design, 2018

2017
Performance Analysis of Weakly-Consistent Scenario-Aware Dataflow Graphs.
J. Signal Process. Syst., 2017

Special Section: Integrating Dataflow, Embedded Computing and Architecture.
ACM Trans. Design Autom. Electr. Syst., 2017

Task-FIFO Co-Scheduling of Streaming Applications on MPSoCs with Predictable Memory Hierarchy.
ACM Trans. Embed. Comput. Syst., 2017

Online Scheduling of 2-Re-entrant Flexible Manufacturing Systems.
ACM Trans. Embed. Comput. Syst., 2017

Analyzing execution traces: critical-path analysis and distance analysis.
Int. J. Softw. Tools Technol. Transf., 2017

Mapping of synchronous dataflow graphs on MPSoCs based on parallelism enhancement.
J. Parallel Distributed Comput., 2017

Effective link quality estimation as a means to improved end-to-end packet delivery in high traffic mobile ad hoc networks<sup>☆</sup>.
Digit. Commun. Networks, 2017

Exploring the trade-off between processing resources and settling time in image-based control through LQR tuning.
Proceedings of the Symposium on Applied Computing, 2017

2016
Multiconstraint Static Scheduling of Synchronous Dataflow Graphs Via Retiming and Unfolding.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2016

A blueprint for system-level performance modeling of software-intensive embedded systems.
Int. J. Softw. Tools Technol. Transf., 2016

xCPS: a tool to explore cyber physical systems.
SIGBED Rev., 2016

Reconfigurable pipelined sensing for image-based control.
Proceedings of the 11th IEEE Symposium on Industrial Embedded Systems, 2016

Sample-drop firmness analysis of TDMA-scheduled control applications.
Proceedings of the 11th IEEE Symposium on Industrial Embedded Systems, 2016

Tight temporal bounds for dataflow applications mapped onto shared resources.
Proceedings of the 11th IEEE Symposium on Industrial Embedded Systems, 2016

Communication aware multiprocessor binding for shared memory systems.
Proceedings of the 11th IEEE Symposium on Industrial Embedded Systems, 2016

INLyD: Inter-Network-Layer Delay as a Low-cost Quality Metric for Multi-hop Routing in Wireless Mobile Networks.
Proceedings of the 13th ACM Symposium on Performance Evaluation of Wireless Ad Hoc, 2016

An Experimental Study of Cross-Technology Interference in In-Vehicle Wireless Sensor Networks.
Proceedings of the 19th ACM International Conference on Modeling, 2016

Robust online face tracking-by-detection.
Proceedings of the IEEE International Conference on Multimedia and Expo, 2016

Compositional specification of functionality and timing of manufacturing systems.
Proceedings of the 2016 Forum on Specification and Design Languages, 2016

Performance analysis and controller improvement for linear systems with (m, k)-firm data losses.
Proceedings of the 15th European Control Conference, 2016

A Fast Estimator of Performance with Respect to the Design Parameters of Self Re-Entrant Flowshops.
Proceedings of the 2016 Euromicro Conference on Digital System Design, 2016

Online heuristic for the Multi-Objective Generalized traveling salesman problem.
Proceedings of the 2016 Design, Automation & Test in Europe Conference & Exhibition, 2016

Checking Metric Temporal Logic with TRACE.
Proceedings of the 16th International Conference on Application of Concurrency to System Design, 2016

2015
A Distributed Reconfiguration Approach for Quality-of-Service Provisioning in Dynamic Heterogeneous Wireless Sensor Networks.
ACM Trans. Sens. Networks, 2015

Timed-Gamma and its Coordination Language.
Nord. J. Comput., 2015

Improving End-to-end Packet Delivery in High Traffic Multi-hop Wireless Ad Hoc Networks.
EAI Endorsed Trans. Energy Web, 2015

Multi-Domain Virtual Prototyping in a SystemC SIL framework: A heating system case study.
Proceedings of the 2015 International Conference on Embedded Computer Systems: Architectures, 2015

Multi-Constraint multi-processor Resource Allocation.
Proceedings of the 2015 International Conference on Embedded Computer Systems: Architectures, 2015

Iterative robust multiprocessor scheduling.
Proceedings of the 23rd International Conference on Real Time Networks and Systems, 2015

Performance Engineering for Industrial Embedded Data-Processing Systems.
Proceedings of the Product-Focused Software Process Improvement, 2015

Modular model-based supervisory controller design for wafer logistics in lithography machines.
Proceedings of the 18th ACM/IEEE International Conference on Model Driven Engineering Languages and Systems, 2015

Enhanced Time-Slotted Channel Hopping in WSNs Using Non-intrusive Channel-Quality Estimation.
Proceedings of the 12th IEEE International Conference on Mobile Ad Hoc and Sensor Systems, 2015


A re-entrant flowshop heuristic for online scheduling of the paper path in a large scale printer.
Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, 2015

Online multi-face detection and tracking using detector confidence and structured SVMs.
Proceedings of the 12th IEEE International Conference on Advanced Video and Signal Based Surveillance, 2015

2014
Efficient Cluster Mobility Support for TDMA-Based MAC Protocols in Wireless Sensor Networks.
ACM Trans. Sens. Networks, 2014

Fast-performance simulation for Gossip-based Wireless Sensor Networks.
Simul., 2014

Platform-Aware Design of Embedded Controllers.
ERCIM News, 2014

Robustness analysis of multiprocessor schedules.
Proceedings of the XIVth International Conference on Embedded Computer Systems: Architectures, 2014

Fault-tolerant embedded control systems for unreliable hardware.
Proceedings of the 2014 International Symposium on Integrated Circuits (ISIC), 2014

A tool for fast ground truth generation for object detection and tracking from video.
Proceedings of the 2014 IEEE International Conference on Image Processing, 2014

ContoExam: an ontology on context-aware examinations.
Proceedings of the Formal Ontology in Information Systems, 2014

Memory-constrained static rate-optimal scheduling of synchronous dataflow graphs via retiming.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2014

Semantic Interoperability in Body Area Sensor Networks and Applications.
Proceedings of the 9th International Conference on Body Area Networks, 2014

2013
Kahn Process Networks and a Reactive Extension.
Proceedings of the Handbook of Signal Processing Systems, 2013

Collaborative Multiobjective Global Routing.
IEEE Trans. Very Large Scale Integr. Syst., 2013

A fast and scalable multidimensional multiple-choice knapsack heuristic.
ACM Trans. Design Autom. Electr. Syst., 2013

Schedule-Extended Synchronous Dataflow Graphs.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2013

Throughput-constrained DVFS for scenario-aware dataflow graphs.
Proceedings of the 19th IEEE Real-Time and Embedded Technology and Applications Symposium, 2013

An empirical study of link quality estimation techniques for disconnection detection in WBANs.
Proceedings of the 16th ACM International Conference on Modeling, 2013

A systematic engineering tool chain approach for self-organizing building automation systems.
Proceedings of the IECON 2013, 2013


RASW: A run-time adaptive sliding window to improve Viola-Jones object detection.
Proceedings of the Seventh International Conference on Distributed Smart Cameras, 2013

Fast Multiprocessor Scheduling with Fixed Task Binding of Large Scale Industrial Cyber Physical Systems.
Proceedings of the 2013 Euromicro Conference on Digital System Design, 2013

Semantic interoperability in sensor applications making sense of sensor data.
Proceedings of the 2013 IEEE Symposium on Computational Intelligence in Healthcare and e-health, 2013

2012
Efficient Retiming of Multirate DSP Algorithms.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2012

On-demand data forwarding for automatic adaptation of data propagation in WBANs.
Proceedings of the 9th Annual IEEE Communications Society Conference on Sensor, 2012

Demonstrating on-demand listening and data forwarding in wireless body area networks.
Proceedings of the 9th Annual IEEE Communications Society Conference on Sensor, 2012

Predictable dynamic embedded data processing.
Proceedings of the 2012 International Conference on Embedded Computer Systems: Architectures, 2012

Static Rate-Optimal Scheduling of Multirate DSP Algorithms via Retiming and Unfolding.
Proceedings of the 2012 IEEE 18th Real Time and Embedded Technology and Applications Symposium, 2012

Fast sink placement for Gossip-based Wireless Sensor Networks.
Proceedings of the 31st IEEE International Performance Computing and Communications Conference, 2012

Parametric throughput analysis of scenario-aware dataflow graphs.
Proceedings of the 30th International IEEE Conference on Computer Design, 2012

Model-Driven Design-Space Exploration for Software-Intensive Embedded Systems - (Extended Abstract).
Proceedings of the Formal Modeling and Analysis of Timed Systems, 2012

A Distributed Feedback Control Mechanism for Quality-of-Service Maintenance in Wireless Sensor Networks.
Proceedings of the 15th Euromicro Conference on Digital System Design, 2012

Playing games with scenario- and resource-aware SDF graphs through policy iteration.
Proceedings of the 2012 Design, Automation & Test in Europe Conference & Exhibition, 2012

Modeling static-order schedules in synchronous dataflow graphs.
Proceedings of the 2012 Design, Automation & Test in Europe Conference & Exhibition, 2012

2011
MoBAN: a configurable mobility model for wireless body area networks.
Proceedings of the 4th International ICST Conference on Simulation Tools and Techniques, 2011

Dynamic data prioritization for quality-of-service differentiation in heterogeneous Wireless Sensor Networks.
Proceedings of the 8th Annual IEEE Communications Society Conference on Sensor, 2011

Integrated model-driven design-space exploration for embedded systems.
Proceedings of the 2011 International Conference on Embedded Computer Systems: Architectures, 2011

Scenario-aware dataflow: Modeling, analysis and implementation of dynamic applications.
Proceedings of the 2011 International Conference on Embedded Computer Systems: Architectures, 2011

A Probabilistic Acknowledgment Mechanism for Wireless Sensor Networks.
Proceedings of the Sixth International Conference on Networking, Architecture, and Storage, 2011

Proactive reconfiguration of wireless sensor networks.
Proceedings of the 14th International Symposium on Modeling Analysis and Simulation of Wireless and Mobile Systems, 2011

Distributed maintenance of minimum-cost path information in wireless sensor networks.
Proceedings of the PM2HW2N@MSWiM 2011: Proceedings of the 6th ACM workshop on Performance monitoring and measurement of heterogeneous wireless and wired networks, 2011

Pareto Analysis with Uncertainty.
Proceedings of the IEEE/IFIP 9th International Conference on Embedded and Ubiquitous Computing, 2011

Iteration-Based Trade-Off Analysis of Resource-Aware SDF.
Proceedings of the 14th Euromicro Conference on Digital System Design, 2011

Hybrid Code-Data Prefetch-Aware Multiprocessor Task Graph Scheduling.
Proceedings of the 14th Euromicro Conference on Digital System Design, 2011

Designing next-generation real-time streaming systems.
Proceedings of the 9th International Conference on Hardware/Software Codesign and System Synthesis, 2011

Parameterized Partial Orders for Modeling Embedded System Use Cases: Formal Definition and Translation to Coloured Petri Nets.
Proceedings of the 11th International Conference on Application of Concurrency to System Design, 2011

2010
Editorial: Model-driven embedded-system design.
ACM Trans. Embed. Comput. Syst., 2010

Buffer Sizing for Rate-Optimal Single-Rate Data-Flow Scheduling Revisited.
IEEE Trans. Computers, 2010

MCMAC: An Optimized Medium Access Control Protocol for Mobile Clusters in Wireless Sensor Networks.
Proceedings of the Seventh Annual IEEE Communications Society Conference on Sensor, 2010

Real-time step motor emulator for hardware-in-the-loop simulation.
Proceedings of the SummerSim '10, 2010

Model-Driven Design-Space Exploration for Embedded Systems: The Octopus Toolset.
Proceedings of the Leveraging Applications of Formal Methods, Verification, and Validation, 2010

A pareto-algebraic framework for signal power optimization in global routing.
Proceedings of the 2010 International Symposium on Low Power Electronics and Design, 2010

Predicting the throughput of multiprocessor applications under dynamic workload.
Proceedings of the 28th International Conference on Computer Design, 2010

Thermal-aware scratchpad memory design and allocation.
Proceedings of the 28th International Conference on Computer Design, 2010

A Predictable Multiprocessor Design Flow for Streaming Applications with Dynamic Behaviour.
Proceedings of the 13th Euromicro Conference on Digital System Design, 2010

Automated bottleneck-driven design-space exploration of media processing systems.
Proceedings of the Design, Automation and Test in Europe, 2010

Simultaneous budget and buffer size computation for throughput-constrained task graphs.
Proceedings of the Design, Automation and Test in Europe, 2010

A robust protocol stack for multi-hop wireless body area networks with transmit power adaptation.
Proceedings of the 5th International ICST Conference on Body Area Networks, 2010

Kahn Process Networks and a Reactive Extension.
Proceedings of the Handbook of Signal Processing Systems, 2010

2009
System-scenario-based design of dynamic embedded systems.
ACM Trans. Design Autom. Electr. Syst., 2009

Quality-of-service trade-off analysis for wireless sensor networks.
Perform. Evaluation, 2009

Fast simulation methods to predict wireless sensor network performance.
Proceedings of the 6th ACM International Workshop on Performance Evaluation of Wireless Ad Hoc, 2009

Configuring multi-objective evolutionary algorithms for design-space exploration of wireless sensor networks.
Proceedings of the 4th ACM workshop on Performance monitoring and measurement of heterogeneous wireless and wired networks, 2009

QoS Management for Wireless Sensor Networks with a Mobile Sink.
Proceedings of the Wireless Sensor Networks, 6th European Conference, 2009

Exploring trade-offs between performance and resource requirements for synchronous dataflow graphs.
Proceedings of the 7th IEEE/ACM/IFIP Workshop on Embedded Systems for Real-Time Multimedia, 2009

A parameterized compositional multi-dimensional multiple-choice knapsack heuristic for CMP run-time management.
Proceedings of the 46th Design Automation Conference, 2009

2008
Scenario Selection and Prediction for DVS-Aware Scheduling of Multimedia Applications.
J. Signal Process. Syst., 2008

Throughput-Buffering Trade-Off Exploration for Cyclo-Static and Synchronous Dataflow Graphs.
IEEE Trans. Computers, 2008

Resource-efficient routing and scheduling of time-constrained streaming communication on networks-on-chip.
J. Syst. Archit., 2008

Analyzing concurrency in streaming applications.
J. Syst. Archit., 2008

A monitoring-aware network-on-chip design flow.
J. Syst. Archit., 2008

Application Scenarios in Streaming-Oriented Embedded-System Design.
IEEE Des. Test Comput., 2008

Formal Modeling and Scheduling of Datapaths of Digital Document Printers.
Proceedings of the Formal Modeling and Analysis of Timed Systems, 2008

Parametric Throughput Analysis of Synchronous Data Flow Graphs.
Proceedings of the Design, Automation and Test in Europe, 2008

SPaC: a symbolic pareto calculator.
Proceedings of the 6th International Conference on Hardware/Software Codesign and System Synthesis, 2008

2007
An Algebra of Pareto Points.
Fundam. Informaticae, 2007

Analysing qos trade-offs in wireless sensor networks.
Proceedings of the 10th International Symposium on Modeling Analysis and Simulation of Wireless and Mobile Systems, 2007

Execution-time Prediction for Dynamic Streaming Applications with Task-level Parallelism.
Proceedings of the Tenth Euromicro Conference on Digital System Design: Architectures, 2007

Latency Minimization for Synchronous Data Flow Graphs.
Proceedings of the Tenth Euromicro Conference on Digital System Design: Architectures, 2007

A calculator for Pareto points.
Proceedings of the 2007 Design, Automation and Test in Europe Conference and Exposition, 2007

Congestion-controlled best-effort communication for networks-on-chip.
Proceedings of the 2007 Design, Automation and Test in Europe Conference and Exposition, 2007

Multiprocessor Resource Allocation for Throughput-Constrained Synchronous Dataflow Graphs.
Proceedings of the 44th Design Automation Conference, 2007

2006
RC-SIMD: Reconfigurable communication SIMD architecture for image processing applications.
J. Embed. Comput., 2006

Transaction Monitoring in Networks on Chip: The On-Chip Run-Time Perspective.
Proceedings of the International Symposium on Industrial Embedded Systems, 2006

Profiling Driven Scenarion Detection and Prediction for Multimedia Applications.
Proceedings of 2006 International Conference on Embedded Computer Systems: Architectures, 2006

A scenario-aware data flow model for combined long-run average and worst-case performance analysis.
Proceedings of the 4th ACM & IEEE International Conference on Formal Methods and Models for Co-Design (MEMOCODE 2006), 2006

NoC monitoring: impact on the design flow.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2006), 2006

Run-time reconfiguration of communication in SIMD architectures.
Proceedings of the 20th International Parallel and Distributed Processing Symposium (IPDPS 2006), 2006

Liveness and Boundedness of Synchronous Data Flow Graphs.
Proceedings of the Formal Methods in Computer-Aided Design, 6th International Conference, 2006

Resource-Efficient Routing and Scheduling of Time-Constrained Network-on-Chip Communication.
Proceedings of the Ninth Euromicro Conference on Digital System Design: Architectures, Methods and Tools (DSD 2006), 30 August, 2006

Exploring trade-offs in buffer requirements and throughput constraints for synchronous dataflow graphs.
Proceedings of the 43rd Design Automation Conference, 2006

Dynamic-SIMD for lens distortion compensation.
Proceedings of the 2006 IEEE International Conference on Application-Specific Systems, 2006

SDF<sup>3</sup>: SDF For Free.
Proceedings of the Sixth International Conference on Application of Concurrency to System Design (ACSD 2006), 2006

Throughput Analysis of Synchronous Data Flow Graphs.
Proceedings of the Sixth International Conference on Application of Concurrency to System Design (ACSD 2006), 2006

2005
An event-based monitoring service for networks on chip.
ACM Trans. Design Autom. Electr. Syst., 2005

Iterative compilation for energy reduction.
J. Embed. Comput., 2005

Extended abstract: estimation times of on-chip multiprocessor stream-oriented applications.
Proceedings of the 3rd ACM & IEEE International Conference on Formal Methods and Models for Co-Design (MEMOCODE 2005), 2005

Predictable Embedding of Large Data Structures in Multiprocessor Networks-on-Chip.
Proceedings of the 2005 Design, 2005

Automatic scenario detection for improved WCET estimation.
Proceedings of the 42nd Design Automation Conference, 2005

Minimising buffer requirements of synchronous dataflow graphs with model checking.
Proceedings of the 42nd Design Automation Conference, 2005

Intra-task scenario-aware voltage scheduling.
Proceedings of the 2005 International Conference on Compilers, 2005

Designing Area and Performance Constrained SIMD/VLIW Image Processing Architectures.
Proceedings of the Advanced Concepts for Intelligent Vision Systems, 2005

2004
Cluster-Based Partial-Order Reduction.
Autom. Softw. Eng., 2004

An event-based network-on-chip monitoring service.
Proceedings of the Ninth IEEE International High-Level Design Validation and Test Workshop 2004, 2004

Reactive process networks.
Proceedings of the EMSOFT 2004, 2004

Modeling and Validating Globally Asynchronous Design in Synchronous Frameworks.
Proceedings of the 2004 Design, 2004

2003
Static resource models for code-size efficient embedded processors.
ACM Trans. Embed. Comput. Syst., 2003

Analyzing Concurrency in Computational Networks.
Proceedings of the 1st ACM & IEEE International Conference on Formal Methods and Models for Co-Design (MEMOCODE 2003), 2003

PARS: A Process Algebra with Resources and Schedulers.
Proceedings of the Formal Modeling and Analysis of Timed Systems: First International Workshop, 2003

Requirements on the Execution of Kahn Process Networks.
Proceedings of the Programming Languages and Systems, 2003

Ambient Intelligence Visions and Achievements: Linking Abstract Ideas to Real-World Concepts.
Proceedings of the 2003 Design, 2003

Scaling into Ambient Intelligence.
Proceedings of the 2003 Design, 2003

Task-level timing models for guaranteed performance in multiprocessor networks-on-chip.
Proceedings of the International Conference on Compilers, 2003

Deciding Life-Cycle Inheritance on Petri Nets.
Proceedings of the Applications and Theory of Petri Nets 2003, 2003

CAST - A Task-Level Concurrency Analysis Tool.
Proceedings of the 3rd International Conference on Application of Concurrency to System Design (ACSD 2003), 2003

Separation of Concerns in the Formal Design of Real-Time Shared Data-Space Systems.
Proceedings of the 3rd International Conference on Application of Concurrency to System Design (ACSD 2003), 2003

Ambient intelligence - impact on embedded system design.
Kluwer, ISBN: 978-1-4020-7668-8, 2003

2002
Inheritance of workflows: an approach to tackling problems related to change.
Theor. Comput. Sci., 2002

Using Aspect-GAMMA in the design of embedded systems.
Proceedings of the Seventh IEEE International High-Level Design Validation and Test Workshop 2002, 2002

Practical Instruction Set Design and Compiler Retargetability Using Static Resource Models.
Proceedings of the 2002 Design, 2002

2001
Inheritance of behavior.
J. Log. Algebraic Methods Program., 2001

Diagnosing Workflow Processes using Woflan.
Comput. J., 2001

Enhancing Partial-Order Reduction via Process Clustering.
Proceedings of the 16th IEEE International Conference on Automated Software Engineering (ASE 2001), 2001

Static resource models of instruction sets.
Proceedings of the 14th International Symposium on Systems Synthesis, 2001

Inheritance of Dynamic Behavior: Development of a Groupware Editor.
Proceedings of the Concurrent Object-Oriented Programming and Petri Nets, 2001

Identifying Commonalities and Differences in Object Life Cycles Using Behavioral Inheritance.
Proceedings of the Application and Theory of Petri Nets 2001, 2001

Partial-Order Process Algebra (and its Relation to Petri Nets).
Proceedings of the Handbook of Process Algebra, 2001

1999
Process Algebra in PVS.
Proceedings of the Tools and Algorithms for Construction and Analysis of Systems, 1999

Adaptive Workflow-On the Interplay between Flexibility and Support.
Proceedings of the 1st International Conference on Enterprise Information Systems, 1999

1997
Parsing Partially Ordered Multisets.
Int. J. Found. Comput. Sci., 1997

Vector Time and Causality Among Abstract Events in Distributed Computations.
Distributed Comput., 1997

Poet: Target-System Independent Visualizations of Complex Distributed-Application Executions.
Comput. J., 1997

Life-Cycle Inheritance: A Petri-Net-Based Approach.
Proceedings of the Application and Theory of Petri Nets 1997, 1997

1996
Branching Bisimilarity is an Equivalence Indeed!
Inf. Process. Lett., 1996

1995
Simulating and analyzing railway interlockings in ExSpect.
IEEE Parallel Distributed Technol. Syst. Appl., 1995

An Algebraic Semantics for Hierarchical P/T Nets.
Proceedings of the Application and Theory of Petri Nets 1995, 1995

1994
Breakpoints and Time in Distributed Computations.
Proceedings of the Distributed Algorithms, 8th International Workshop, 1994


  Loading...