Joshua San Miguel

Orcid: 0000-0002-6886-7183

According to our database1, Joshua San Miguel authored at least 43 papers between 2014 and 2024.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2024
Carat: Unlocking Value-Level Parallelism for Multiplier-Free GEMMs.
Proceedings of the 29th ACM International Conference on Architectural Support for Programming Languages and Operating Systems, 2024

2023
As-Is Approximate Computing.
ACM Trans. Archit. Code Optim., March, 2023

2022
CAP'NN: A Class-aware Framework for Personalized Neural Network Inference.
ACM Trans. Embed. Comput. Syst., September, 2022

uBrain: a unary brain computer interface.
Proceedings of the ISCA '22: The 49th Annual International Symposium on Computer Architecture, New York, New York, USA, June 18, 2022

NvMR: non-volatile memory renaming for intermittent computing.
Proceedings of the ISCA '22: The 49th Annual International Symposium on Computer Architecture, New York, New York, USA, June 18, 2022

uSystolic: Byte-Crawling Unary Systolic Array.
Proceedings of the IEEE International Symposium on High-Performance Computer Architecture, 2022

Stay in your Lane: A NoC with Low-overhead Multi-packet Bypassing.
Proceedings of the IEEE International Symposium on High-Performance Computer Architecture, 2022

Streaming Accuracy: Characterizing Early Termination in Stochastic Computing.
Proceedings of the 27th Asia and South Pacific Design Automation Conference, 2022

2021
AirNN: A Featherweight Framework for Dynamic Input-Dependent Approximation of CNNs.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2021

uGEMM: Unary Computing for GEMM Applications.
IEEE Micro, 2021

In-Stream Correlation-Based Division and Bit-Inserting Square Root in Stochastic Computing.
IEEE Des. Test, 2021

SEEC: stochastic escape express channel.
Proceedings of the International Conference for High Performance Computing, 2021

UNO: Virtualizing and Unifying Nonlinear Operations for Emerging Neural Networks.
Proceedings of the IEEE/ACM International Symposium on Low Power Electronics and Design, 2021

Ghostwriter: A Cache Coherence Protocol for Error-Tolerant Applications.
Proceedings of the ICPP Workshops 2021: 50th International Conference on Parallel Processing, 2021

Special Session: When Dataflows Converge: Reconfigurable and Approximate Computing for Emerging Neural Networks.
Proceedings of the 39th IEEE International Conference on Computer Design, 2021

Pitstop: Enabling a Virtual Network Free Network-on-Chip.
Proceedings of the IEEE International Symposium on High-Performance Computer Architecture, 2021

Normalized Stability: A Cross-Level Design Metric for Early Termination in Stochastic Computing.
Proceedings of the ASPDAC '21: 26th Asia and South Pacific Design Automation Conference, 2021

Zero Correlation Error: A Metric for Finite-Length Bitstream Independence in Stochastic Computing.
Proceedings of the ASPDAC '21: 26th Asia and South Pacific Design Automation Conference, 2021

2020
SHASTA: Synergic HW-SW Architecture for Spatio-temporal Approximation.
ACM Trans. Archit. Code Optim., 2020

Value Locality Based Approximation With ODIN.
IEEE Comput. Archit. Lett., 2020

Bufferless NoCs with Scheduled Deflection Routing.
Proceedings of the 14th IEEE/ACM International Symposium on Networks-on-Chip, 2020

UGEMM: Unary Computing Architecture for GEMM Applications.
Proceedings of the 47th ACM/IEEE Annual International Symposium on Computer Architecture, 2020

DRAIN: Deadlock Removal for Arbitrary Irregular Networks.
Proceedings of the IEEE International Symposium on High Performance Computer Architecture, 2020

CAP'NN: Class-Aware Personalized Neural Network Inference.
Proceedings of the 57th ACM/IEEE Design Automation Conference, 2020

CRANIA: Unlocking Data and Value Reuse in Iterative Neural Network Architectures.
Proceedings of the 25th Asia and South Pacific Design Automation Conference, 2020

2019
SWAP: Synchronized Weaving of Adjacent Packets for Network Deadlock Resolution.
Proceedings of the 52nd Annual IEEE/ACM International Symposium on Microarchitecture, 2019

SECO: A Scalable Accuracy Approximate Exponential Function Via Cross-Layer Optimization.
Proceedings of the 2019 IEEE/ACM International Symposium on Low Power Electronics and Design, 2019

The What's Next Intermittent Computing Architecture.
Proceedings of the 25th IEEE International Symposium on High Performance Computer Architecture, 2019

In-Stream Stochastic Division and Square Root via Correlation.
Proceedings of the 56th Annual Design Automation Conference 2019, 2019

Approximate Cache Architectures.
Proceedings of the Approximate Circuits, Methodologies and CAD., 2019

2018
Approximate Computing.
IEEE Micro, 2018

A Taxonomy of General Purpose Approximate Computing Techniques.
IEEE Embed. Syst. Lett., 2018

The EH Model: Analytical Exploration of Energy-Harvesting Architectures.
IEEE Comput. Archit. Lett., 2018

The EH Model: Early Design Space Exploration of Intermittent Processor Architectures.
Proceedings of the 51st Annual IEEE/ACM International Symposium on Microarchitecture, 2018

2016
Practical Multidimensional Branch Prediction.
IEEE Micro, 2016

The Bunker Cache for spatio-value approximation.
Proceedings of the 49th Annual IEEE/ACM International Symposium on Microarchitecture, 2016

The Anytime Automaton.
Proceedings of the 43rd ACM/IEEE Annual International Symposium on Computer Architecture, 2016

The runahead network-on-chip.
Proceedings of the 2016 IEEE International Symposium on High Performance Computer Architecture, 2016

2015
Data Criticality in Network-On-Chip Design.
Proceedings of the 9th International Symposium on Networks-on-Chip, 2015

The inner most loop iteration counter: a new dimension in branch history.
Proceedings of the 48th International Symposium on Microarchitecture, 2015

Doppelgänger: a cache for approximate computing.
Proceedings of the 48th International Symposium on Microarchitecture, 2015

2014
Load Value Approximation.
Proceedings of the 47th Annual IEEE/ACM International Symposium on Microarchitecture, 2014

Wormhole: Wisely Predicting Multidimensional Branches.
Proceedings of the 47th Annual IEEE/ACM International Symposium on Microarchitecture, 2014


  Loading...