Mikko H. Lipasti
Orcid: 0000-0002-8535-9244Affiliations:
- University of Wisconsin-Madison, USA
According to our database1,
Mikko H. Lipasti
authored at least 122 papers
between 1993 and 2024.
Collaborative distances:
Collaborative distances:
Awards
IEEE Fellow
IEEE Fellow 2013, "For contributions to the microarchitecture and design of high-performance microprocessors and computer systems".
Timeline
Legend:
Book In proceedings Article PhD thesis Dataset OtherLinks
Online presence:
-
on orcid.org
On csauthors.net:
Bibliography
2024
Information bottleneck-based Hebbian learning rule naturally ties working memory and synaptic updates.
Frontiers Comput. Neurosci., 2024
2023
TNT: A Modular Approach to Traversing Physically Heterogeneous NOCs at Bare-wire Latency.
ACM Trans. Archit. Code Optim., September, 2023
ACM Trans. Archit. Code Optim., September, 2023
IEEE Comput. Archit. Lett., 2023
Proceedings of the 5th International Workshop on Benchmarking in the Data Center, 2023
2022
Proceedings of the GLSVLSI '22: Great Lakes Symposium on VLSI 2022, Irvine CA USA, June 6, 2022
Work-in-Progress: NoRF: A Case Against Register File Operands in Tightly-Coupled Accelerators.
Proceedings of the International Conference on Compilers, 2022
2021
Proceedings of the IEEE International Symposium on Performance Analysis of Systems and Software, 2021
2020
ACM Trans. Archit. Code Optim., 2020
ACM Trans. Archit. Code Optim., 2020
Proceedings of the IEEE International Symposium on Performance Analysis of Systems and Software, 2020
Proceedings of the 50th Annual IEEE/IFIP International Conference on Dependable Systems and Networks Workshops, 2020
2019
Proceedings of the 20th ACM SIGPLAN/SIGBED International Conference on Languages, 2019
SECO: A Scalable Accuracy Approximate Exponential Function Via Cross-Layer Optimization.
Proceedings of the 2019 IEEE/ACM International Symposium on Low Power Electronics and Design, 2019
Proceedings of the 25th IEEE International Symposium on High Performance Computer Architecture, 2019
2018
Proceedings of the International Symposium on Low Power Electronics and Design, 2018
Proceedings of the 27th International Conference on Parallel Architectures and Compilation Techniques, 2018
2017
ACM Trans. Embed. Comput. Syst., 2017
Proceedings of the 2017 IEEE/ACM International Symposium on Low Power Electronics and Design, 2017
Proceedings of the 44th Annual International Symposium on Computer Architecture, 2017
Proceedings of the 44th Annual International Symposium on Computer Architecture, 2017
Evaluating hopfield-network-based linear solvers for hardware constrained neural substrates.
Proceedings of the 2017 International Joint Conference on Neural Networks, 2017
2016
Proceedings of the 34th IEEE International Conference on Computer Design, 2016
Proceedings of the 2016 International Conference on Parallel Architectures and Compilation, 2016
2015
IEEE Comput. Archit. Lett., 2015
Proceedings of the 42nd Annual International Symposium on Computer Architecture, 2015
Proceedings of the 2015 International Joint Conference on Neural Networks, 2015
Proceedings of the 21st IEEE International Symposium on High Performance Computer Architecture, 2015
Proceedings of the 21st IEEE International Symposium on High Performance Computer Architecture, 2015
Proceedings of the 25th edition on Great Lakes Symposium on VLSI, GLVLSI 2015, Pittsburgh, PA, USA, May 20, 2015
2014
Proceedings of the 47th Annual IEEE/ACM International Symposium on Microarchitecture, 2014
Proceedings of the International Symposium on Low Power Electronics and Design, 2014
Proceedings of the 20th IEEE International Symposium on High Performance Computer Architecture, 2014
Proceedings of the 20th IEEE International Symposium on High Performance Computer Architecture, 2014
Proceedings of the 20th IEEE International Symposium on High Performance Computer Architecture, 2014
2013
J. Parallel Distributed Comput., 2013
Proceedings of the 2013 Seventh IEEE/ACM International Symposium on Networks-on-Chip (NoCS), 2013
Wavelength stealing: an opportunistic approach to channel sharing in multi-chip photonic interconnects.
Proceedings of the 46th Annual IEEE/ACM International Symposium on Microarchitecture, 2013
Proceedings of the International Symposium on Low Power Electronics and Design (ISLPED), 2013
Proceedings of the 2013 IEEE 31st International Conference on Computer Design, 2013
Bridging the semantic gap: Emulating biological neuronal behaviors with simple digital neurons.
Proceedings of the 19th IEEE International Symposium on High Performance Computer Architecture, 2013
2012
Proceedings of the 2012 ACM workshop on Relaxing synchronization for multicore and manycore scalability, 2012
BenchNN: On the broad potential application scope of hardware neural network accelerators.
Proceedings of the 2012 IEEE International Symposium on Workload Characterization, 2012
Proceedings of the IEEE/IFIP International Conference on Dependable Systems and Networks, 2012
2011
Proceedings of the 44rd Annual IEEE/ACM International Symposium on Microarchitecture, 2011
Proceedings of the 44rd Annual IEEE/ACM International Symposium on Microarchitecture, 2011
Accelerating search and recognition workloads with SSE 4.2 string and text processing instructions.
Proceedings of the IEEE International Symposium on Performance Analysis of Systems and Software, 2011
Proceedings of the 38th International Symposium on Computer Architecture (ISCA 2011), 2011
Proceedings of the 38th International Symposium on Computer Architecture (ISCA 2011), 2011
Profiling Heterogeneous Multi-GPU Systems to Accelerate Cortically Inspired Learning Algorithms.
Proceedings of the 25th IEEE International Symposium on Parallel and Distributed Processing, 2011
Atomic Coherence: Leveraging nanophotonics to build race-free cache coherence protocols.
Proceedings of the 17th International Conference on High-Performance Computer Architecture (HPCA-17 2011), 2011
Proceedings of the Design, Automation and Test in Europe, 2011
Proceedings of the 16th International Conference on Architectural Support for Programming Languages and Operating Systems, 2011
2010
Proceedings of the 43rd Annual IEEE/ACM International Symposium on Microarchitecture, 2010
Proceedings of the Computational Intelligence, 2010
Discovering Cortical Algorithms.
Proceedings of the ICFC-ICNC 2010, 2010
Proceedings of 3rd Workshop on General Purpose Processing on Graphics Processing Units, 2010
2009
Proceedings of the 42st Annual IEEE/ACM International Symposium on Microarchitecture (MICRO-42 2009), 2009
Proceedings of the 42st Annual IEEE/ACM International Symposium on Microarchitecture (MICRO-42 2009), 2009
Achieving predictable performance through better memory controller placement in many-core CMPs.
Proceedings of the 36th International Symposium on Computer Architecture (ISCA 2009), 2009
Proceedings of the 2009 IEEE Symposium on Computational Intelligence for Multimedia Signal and Vision Processing, 2009
2008
Virtual tree coherence: Leveraging regions and in-network multicast trees for scalable cache coherence.
Proceedings of the 41st Annual IEEE/ACM International Symposium on Microarchitecture (MICRO-41 2008), 2008
Proceedings of the 35th International Symposium on Computer Architecture (ISCA 2008), 2008
Proceedings of the 26th International Conference on Computer Design, 2008
Proceedings of the 14th International Conference on High-Performance Computer Architecture (HPCA-14 2008), 2008
Proceedings of the 38th Annual IEEE/IFIP International Conference on Dependable Systems and Networks, 2008
Proceedings of the 17th International Conference on Parallel Architectures and Compilation Techniques, 2008
2007
Speculative optimization using hardware-monitored guarded regions for java virtual machines.
Proceedings of the 3rd International Conference on Virtual Execution Environments, 2007
Proceedings of the 2007 International Symposium on Low Power Electronics and Design, 2007
Proceedings of the IEEE 10th International Symposium on Workload Characterization, 2007
Proceedings of the 25th International Conference on Computer Design, 2007
Proceedings of the 25th International Conference on Computer Design, 2007
2006
IEEE Micro, 2006
J. Low Power Electron., 2006
Proceedings of the 2006 IEEE International Symposium on Performance Analysis of Systems and Software, 2006
Proceedings of the 2006 International Symposium on Low Power Electronics and Design, 2006
Proceedings of the 12th International Symposium on High-Performance Computer Architecture, 2006
Proceedings of the Fourth IEEE/ACM International Symposium on Code Generation and Optimization (CGO 2006), 2006
Proceedings of the 12th International Conference on Architectural Support for Programming Languages and Operating Systems, 2006
2005
IEEE Trans. Parallel Distributed Syst., 2005
Proceedings of the IEEE International Symposium on Performance Analysis of Systems and Software, 2005
Proceedings of the 32st International Symposium on Computer Architecture (ISCA 2005), 2005
2004
J. Instr. Level Parallelism, 2004
Proceedings of the 2004 IEEE International Symposium on Performance Analysis of Systems and Software, 2004
Proceedings of the 31st International Symposium on Computer Architecture (ISCA 2004), 2004
Proceedings of the 10th International Conference on High-Performance Computer Architecture (HPCA-10 2004), 2004
2003
Proceedings of the SPAA 2003: Proceedings of the Fifteenth Annual ACM Symposium on Parallelism in Algorithms and Architectures, 2003
Proceedings of the 36th Annual International Symposium on Microarchitecture, 2003
Proceedings of the 30th International Symposium on Computer Architecture (ISCA 2003), 2003
Proceedings of the 32nd International Conference on Parallel Processing (ICPP 2003), 2003
Proceedings of the 12th International Conference on Parallel Architectures and Compilation Techniques (PACT 2003), 27 September, 2003
2002
Proceedings of the 7th Asia and South Pacific Design Automation Conference (ASP-DAC 2002), 2002
Proceedings of the Fourteenth Annual ACM Symposium on Parallel Algorithms and Architectures, 2002
Proceedings of the 29th International Symposium on Computer Architecture (ISCA 2002), 2002
Proceedings of the 29th International Symposium on Computer Architecture (ISCA 2002), 2002
Proceedings of the 10th International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS-X), 2002
2001
SIGARCH Comput. Archit. News, 2001
Correctly implementing value prediction in microprocessors that support multithreading or multiprocessing.
Proceedings of the 34th Annual International Symposium on Microarchitecture, 2001
Proceedings of the Seventh International Symposium on High-Performance Computer Architecture (HPCA'01), 2001
2000
Proceedings of the 33rd Annual IEEE/ACM International Symposium on Microarchitecture, 2000
Proceedings of the 27th International Symposium on Computer Architecture (ISCA 2000), 2000
Proceedings of the 2000 International Conference on Parallel Architectures and Compilation Techniques (PACT'00), 2000
1999
Proceedings of the 1999 International Conference on Parallel Architectures and Compilation Techniques, 1999
1998
Int. J. Parallel Program., 1998
1997
Proceedings of the Euro-Par '97 Parallel Processing, 1997
1996
Proceedings of the 29th Annual IEEE/ACM International Symposium on Microarchitecture, 1996
Proceedings of the 1996 International Conference on Computer Design (ICCD '96), 1996
Proceedings of the ASPLOS-VII Proceedings, 1996
1995
Proceedings of the 28th Annual International Symposium on Microarchitecture, Ann Arbor, Michigan, USA, November 29, 1995
1993
Architecture-Compatible Code Boosting for Performance Enhancement of the IBM RS/6000.
Proceedings of the Proceedings 1993 International Conference on Computer Design: VLSI in Computers & Processors, 1993