Gokul Subramanian Ravi

Orcid: 0000-0002-2334-2682

According to our database1, Gokul Subramanian Ravi authored at least 29 papers between 2015 and 2024.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2024
Codesign of quantum error-correcting codes and modular chiplets in the presence of defects.
Proceedings of the 29th ACM International Conference on Architectural Support for Programming Languages and Operating Systems, 2024

2023
TNT: A Modular Approach to Traversing Physically Heterogeneous NOCs at Bare-wire Latency.
ACM Trans. Archit. Code Optim., September, 2023

Clifford Assisted Optimal Pass Selection for Quantum Transpilation.
CoRR, 2023

SnCQA: A hardware-efficient equivariant quantum convolutional circuit architecture.
Proceedings of the IEEE International Conference on Quantum Computing and Engineering, 2023

DISQ: Dynamic Iteration Skipping for Variational Quantum Algorithms.
Proceedings of the IEEE International Conference on Quantum Computing and Engineering, 2023

Zero Noise Extrapolation on Logical Qubits by Scaling the Error Correction Code Distance.
Proceedings of the IEEE International Conference on Quantum Computing and Engineering, 2023

Optimal Clifford Initial States for Ising Hamiltonians.
Proceedings of the IEEE International Conference on Rebooting Computing, 2023

Navigating the Dynamic Noise Landscape of Variational Quantum Algorithms with QISMET.
Proceedings of the 28th ACM International Conference on Architectural Support for Programming Languages and Operating Systems, 2023

CAFQA: A Classical Simulation Bootstrap for Variational Quantum Algorithms.
Proceedings of the 28th ACM International Conference on Architectural Support for Programming Languages and Operating Systems, 2023

Better Than Worst-Case Decoding for Quantum Error Correction.
Proceedings of the 28th ACM International Conference on Architectural Support for Programming Languages and Operating Systems, 2023

VarSaw: Application-tailored Measurement Error Mitigation for Variational Quantum Algorithms.
Proceedings of the 28th ACM International Conference on Architectural Support for Programming Languages and Operating Systems, 2023

2022
Benchmarking variational quantum circuits with permutation symmetry.
CoRR, 2022

Boosting Quantum Fidelity with an Ordered Diverse Ensemble of Clifford Canary Circuits.
CoRR, 2022

Have your QEC and Bandwidth too!: A lightweight cryogenic decoder for common / trivial errors, and efficient bandwidth + execution management otherwise.
CoRR, 2022

CAFQA: Clifford Ansatz For Quantum Accuracy.
CoRR, 2022

Scaling Superconducting Quantum Computers with Chiplet Architectures.
Proceedings of the 55th IEEE/ACM International Symposium on Microarchitecture, 2022

Quancorde: Boosting fidelity with Quantum Canary Ordered Diverse Ensembles.
Proceedings of the IEEE International Conference on Rebooting Computing, 2022

SupermarQ: A Scalable Quantum Benchmark Suite.
Proceedings of the IEEE International Symposium on High-Performance Computer Architecture, 2022

VAQEM: A Variational Approach to Quantum Error Mitigation.
Proceedings of the IEEE International Symposium on High-Performance Computer Architecture, 2022

2021
Adaptive job and resource management for the growing quantum cloud.
Proceedings of the IEEE International Conference on Quantum Computing and Engineering, 2021

MicroGrad: A Centralized Framework for Workload Cloning and Stress Testing.
Proceedings of the IEEE International Symposium on Performance Analysis of Systems and Software, 2021

Quantum Computing in the Cloud: Analyzing job and machine characteristics.
Proceedings of the IEEE International Symposium on Workload Characterization, 2021

2020
SHASTA: Synergic HW-SW Architecture for Spatio-temporal Approximation.
ACM Trans. Archit. Code Optim., 2020

Value Locality Based Approximation With ODIN.
IEEE Comput. Archit. Lett., 2020

2019
Recycling Data Slack in Out-of-Order Cores.
Proceedings of the 25th IEEE International Symposium on High Performance Computer Architecture, 2019

2018
Aggressive Slack Recycling via Transparent Pipelines.
Proceedings of the International Symposium on Low Power Electronics and Design, 2018

2017
Timing Speculation in Multi-Cycle Data Paths.
IEEE Comput. Archit. Lett., 2017

CHARSTAR: Clock Hierarchy Aware Resource Scaling in Tiled ARchitectures.
Proceedings of the 44th Annual International Symposium on Computer Architecture, 2017

2015
GPU register file virtualization.
Proceedings of the 48th International Symposium on Microarchitecture, 2015


  Loading...