Kypros Constantinides

According to our database1, Kypros Constantinides authored at least 14 papers between 2006 and 2015.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2015
A Reconfigurable Fabric for Accelerating Large-Scale Datacenter Services.
IEEE Micro, 2015

2011
Improving Branch Prediction by Considering Affectors and Affectees Correlations.
Trans. High Perform. Embed. Archit. Compil., 2011

2010
Using introspective software-based testing for post-silicon debug and repair.
Proceedings of the 47th Design Automation Conference, 2010

2009
Online Low-Cost Defect Tolerance Solutions for Microprocessor Designs.
PhD thesis, 2009

A Flexible Software-Based Framework for Online Detection of Hardware Defects.
IEEE Trans. Computers, 2009

2008
Online design bug detection: RTL analysis, flexible mechanisms, and evaluation.
Proceedings of the 41st Annual IEEE/ACM International Symposium on Microarchitecture (MICRO-41 2008), 2008

CrashTest: A fast high-fidelity FPGA-based resiliency analysis framework.
Proceedings of the 26th International Conference on Computer Design, 2008

The Significance of Affectors and Affectees Correlations for Branch Prediction.
Proceedings of the High Performance Embedded Architectures and Compilers, 2008

2007
Architecting a reliable CMP switch architecture.
ACM Trans. Archit. Code Optim., 2007

Software-Based Online Detection of Hardware Defects Mechanisms, Architectural Support, and Evaluation.
Proceedings of the 40th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO-40 2007), 2007

Perturbation-based Fault Screening.
Proceedings of the 13st International Conference on High-Performance Computer Architecture (HPCA-13 2007), 2007

Low-cost protection for SER upsets and silicon defects.
Proceedings of the 2007 Design, Automation and Test in Europe Conference and Exposition, 2007

2006
BulletProof: a defect-tolerant CMP switch architecture.
Proceedings of the 12th International Symposium on High-Performance Computer Architecture, 2006

Ultra low-cost defect protection for microprocessor pipelines.
Proceedings of the 12th International Conference on Architectural Support for Programming Languages and Operating Systems, 2006


  Loading...