Michael Orshansky

Orcid: 0000-0002-6223-4748

According to our database1, Michael Orshansky authored at least 92 papers between 1998 and 2024.

Collaborative distances:

Awards

IEEE Fellow

IEEE Fellow 2015, "For contributions to VLSI design for manufacturability".

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2024
A Hierarchical Classification Method for High-accuracy Instruction Disassembly with Near-field EM Measurements.
ACM Trans. Embed. Comput. Syst., January, 2024

2023
A Provably Secure Strong PUF Based on LWE: Construction and Implementation.
IEEE Trans. Computers, February, 2023

Artemis: HE-Aware Training for Efficient Privacy-Preserving Machine Learning.
CoRR, 2023

Enhancing Cross-Category Learning in Recommendation Systems with Multi-Layer Embedding Training.
CoRR, 2023

Mixed-Precision Quantization with Cross-Layer Dependencies.
CoRR, 2023

A Provably Secure Strong PUF based on LWE: Construction and Implementation.
CoRR, 2023

2022
Power-based Attacks on Spatial DNN Accelerators.
ACM J. Emerg. Technol. Comput. Syst., 2022

High-Level Simulation of Embedded Software Vulnerabilities to EM Side-Channel Attacks.
Proceedings of the Embedded Computer Systems: Architectures, Modeling, and Simulation, 2022

Variability-Aware Training and Self-Tuning of Highly Quantized DNNs for Analog PIM.
Proceedings of the 2022 Design, Automation & Test in Europe Conference & Exhibition, 2022

2021
Horizontal Side-Channel Vulnerabilities of Post-Quantum Key Exchange and Encapsulation Protocols.
ACM Trans. Embed. Comput. Syst., 2021

Galvanically Isolated, Power and Electromagnetic Side-Channel Attack Resilient Secure AES Core with Integrated Charge Pump based Power Management.
Proceedings of the IEEE Custom Integrated Circuits Conference, 2021

Physical Design Strategies for Mitigating Fine-Grained Electromagnetic Side-Channel Attacks.
Proceedings of the IEEE Custom Integrated Circuits Conference, 2021

2020
A Strong Subthreshold Current Array PUF Resilient to Machine Learning Attacks.
IEEE Trans. Circuits Syst. I Regul. Pap., 2020

Training with Multi-Layer Embeddings for Model Reduction.
CoRR, 2020

Lattice PUF: A Strong Physical Unclonable Function Provably Secure against Machine Learning Attacks.
Proceedings of the 2020 IEEE International Symposium on Hardware Oriented Security and Trust, 2020

2019
Using Power-Anomalies to Counter Evasive Micro-Architectural Attacks in Embedded Systems.
Proceedings of the IEEE International Symposium on Hardware Oriented Security and Trust, 2019

Securing AES against Localized EM Attacks through Spatial Randomization of Dataflow.
Proceedings of the IEEE International Symposium on Hardware Oriented Security and Trust, 2019

2018
Fresh re-keying with strong PUFs: A new approach to side-channel security.
Proceedings of the 2018 IEEE International Symposium on Hardware Oriented Security and Trust, 2018

Horizontal side-channel vulnerabilities of post-quantum key exchange protocols.
Proceedings of the 2018 IEEE International Symposium on Hardware Oriented Security and Trust, 2018

Efficient helper data reduction in SRAM PUFs via lossy compression.
Proceedings of the 2018 Design, Automation & Test in Europe Conference & Exhibition, 2018

Binary Ring-LWE hardware with power side-channel countermeasures.
Proceedings of the 2018 Design, Automation & Test in Europe Conference & Exhibition, 2018

2017
Efficient simulation of EM side-channel attack resilience.
Proceedings of the 2017 IEEE/ACM International Conference on Computer-Aided Design, 2017

A new maskless debiasing method for lightweight physical unclonable functions.
Proceedings of the 2017 IEEE International Symposium on Hardware Oriented Security and Trust, 2017

2016
Variation-Tolerant Write Completion Circuit for Variable-Energy Write STT-RAM Architecture.
IEEE Trans. Very Large Scale Integr. Syst., 2016

Exploiting randomness in sketching for efficient hardware implementation of machine learning applications.
Proceedings of the 35th International Conference on Computer-Aided Design, 2016

Multiple Attempt Write Strategy for Low Energy STT-RAM.
Proceedings of the 26th edition on Great Lakes Symposium on VLSI, 2016

PolyGP: Improving GP-based analog optimization through accurate high-order monomials and semidefinite relaxation.
Proceedings of the 2016 Design, Automation & Test in Europe Conference & Exhibition, 2016

A Monte Carlo simulation flow for SEU analysis of sequential circuits.
Proceedings of the 53rd Annual Design Automation Conference, 2016

2015
Novel power grid reduction method based on L1 regularization.
Proceedings of the 52nd Annual Design Automation Conference, 2015

2014
Modeling and Optimization Techniques for Yield-Aware SRAM Post-Silicon Tuning.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2014

Multi-level approximate logic synthesis under general error constraints.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2014

Enabling Efficient Analog Synthesis by Coupling Sparse Regression and Polynomial Optimization.
Proceedings of the 51st Annual Design Automation Conference 2014, 2014

2013
Circuit-Level Timing-Error Acceptance for Design of Energy-Efficient DCT/IDCT-Based Systems.
IEEE Trans. Circuits Syst. Video Technol., 2013

Probabilistic strain optimization under constraint uncertainty.
BMC Syst. Biol., 2013

Low-energy digital filter design based on controlled timing error acceptance.
Proceedings of the International Symposium on Quality Electronic Design, 2013

Variable-energy write STT-RAM architecture with bit-wise write-completion monitoring.
Proceedings of the International Symposium on Low Power Electronics and Design (ISLPED), 2013

Approximate logic synthesis under general error magnitude and frequency constraints.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2013

Novel strong PUF based on nonlinearity of MOSFET subthreshold operation.
Proceedings of the 2013 IEEE International Symposium on Hardware-Oriented Security and Trust, 2013

Approximate computing: An emerging paradigm for energy-efficient design.
Proceedings of the 18th IEEE European Test Symposium, 2013

Gene modification identification under flux capacity uncertainty.
Proceedings of the 50th Annual Design Automation Conference 2013, 2013

2012
Predictable Equation-Based Analog Optimization Based on Explicit Capture of Modeling Error Statistics.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2012

An accurate sparse-matrix based framework for statistical static timing analysis.
Integr., 2012

Highly Secure Strong PUF based on Nonlinearity of MOSFET Subthreshold Operation.
IACR Cryptol. ePrint Arch., 2012

Embracing local variability to enable a robust high-gain positive-feedback amplifier: Design methodology and implementation.
Proceedings of the Thirteenth International Symposium on Quality Electronic Design, 2012

Low-energy signal processing using circuit-level timing-error acceptance.
Proceedings of the IEEE International Conference on IC Design & Technology, 2012

Modeling and synthesis of quality-energy optimal approximate adders.
Proceedings of the 2012 IEEE/ACM International Conference on Computer-Aided Design, 2012

2011
Coupling timing objectives with optical proximity correction for improved timing yield.
Proceedings of the 12th International Symposium on Quality Electronic Design, 2011

Controlled timing-error acceptance for low energy IDCT design.
Proceedings of the Design, Automation and Test in Europe, 2011

2010
NBTI-aware DVFS: a new approach to saving energy and increasing processor lifetime.
Proceedings of the 2010 International Symposium on Low Power Electronics and Design, 2010

An algorithm for exploiting modeling error statistics to enable robust analog optimization.
Proceedings of the 2010 International Conference on Computer-Aided Design, 2010

SMATO: Simultaneous mask and target optimization for improving lithographic process window.
Proceedings of the 2010 International Conference on Computer-Aided Design, 2010

A methodology for propagating design tolerances to shape tolerances for use in manufacturing.
Proceedings of the Design, Automation and Test in Europe, 2010

Ground rule slack aware tolerance-driven optical proximity correction for local metal interconnects.
Proceedings of the IEEE Custom Integrated Circuits Conference, 2010

2009
Statistical analysis of circuit timing using majorization.
Commun. ACM, 2009

Mitigation of intra-array SRAM variability using adaptive voltage architecture.
Proceedings of the 2009 International Conference on Computer-Aided Design, 2009

2008
The Search for Alternative Computational Paradigms.
IEEE Des. Test Comput., 2008

Modeling of NBTI-Induced PMOS Degradation under Arbitrary Dynamic Temperature Variation.
Proceedings of the 9th International Symposium on Quality of Electronic Design (ISQED 2008), 2008

Electrically driven optical proximity correction based on linear programming.
Proceedings of the 2008 International Conference on Computer-Aided Design, 2008

Design for Manufacturability and Statistical Design - A Constructive Approach.
Series on integrated circuits and systems, Springer, ISBN: 978-0-387-30928-6, 2008

2007
A Statistical Algorithm for Power- and Timing-Limited Parametric Yield Optimization of Large Integrated Circuits.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2007

Architecting a reliable CMP switch architecture.
ACM Trans. Archit. Code Optim., 2007

Estimation of Leakage Power Consumption and Parametric Yield Based on Realistic Probabilistic Descriptions of Parameters.
J. Low Power Electron., 2007

Generation of Efficient Codes for Realizing Boolean Functions in Nanotechnologies
CoRR, 2007

A heterogeneous CMOS-CNT architecture utilizing novel coding of boolean functions.
Proceedings of the 2007 IEEE International Symposium on Nanoscale Architectures, 2007

Estimating path delay distribution considering coupling noise.
Proceedings of the 17th ACM Great Lakes Symposium on VLSI 2007, 2007

Accurate Waveform Modeling using Singular Value Decomposition with Applications to Timing Analysis.
Proceedings of the 44th Design Automation Conference, 2007

2006
Path-Based Statistical Timing Analysis Handling Arbitrary Delay Correlations: Theory and Implementation.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2006

Analysis of Leakage Power Reduction in Dual-Vth Technologies in the Presence of Large Threshold Voltage Variation.
J. Low Power Electron., 2006

Interval-based robust statistical techniques for non-negative convex functions, with application to timing analysis of computer chips.
Proceedings of the 2006 ACM Symposium on Applied Computing (SAC), 2006

FASER: Fast Analysis of Soft Error Susceptibility for Cell-Based Designs.
Proceedings of the 7th International Symposium on Quality of Electronic Design (ISQED 2006), 2006

Tutorial II: Variability and Its Impact on Design.
Proceedings of the 7th International Symposium on Quality of Electronic Design (ISQED 2006), 2006

Analytical modeling of SRAM dynamic stability.
Proceedings of the 2006 International Conference on Computer-Aided Design, 2006

Robust estimation of parametric yield under limited descriptions of uncertainty.
Proceedings of the 2006 International Conference on Computer-Aided Design, 2006

Joint design-time and post-silicon minimization of parametric yield loss using adjustable robust optimization.
Proceedings of the 2006 International Conference on Computer-Aided Design, 2006

BulletProof: a defect-tolerant CMP switch architecture.
Proceedings of the 12th International Symposium on High-Performance Computer Architecture, 2006

Application of fast SOCP based statistical sizing in the microprocessor design flow.
Proceedings of the 16th ACM Great Lakes Symposium on VLSI 2006, Philadelphia, PA, USA, April 30, 2006

Towards formal probabilistic power-performance design space exploration.
Proceedings of the 16th ACM Great Lakes Symposium on VLSI 2006, Philadelphia, PA, USA, April 30, 2006

Statistical timing based on incomplete probabilistic descriptions of parameter uncertainty.
Proceedings of the 43rd Design Automation Conference, 2006

Gain-based technology mapping for minimum runtime leakage under input vector uncertainty.
Proceedings of the 43rd Design Automation Conference, 2006

2005
Statistical technology mapping for parametric yield.
Proceedings of the 2005 International Conference on Computer-Aided Design, 2005

An efficient algorithm for statistical minimization of total power under timing yield constraints.
Proceedings of the 42nd Design Automation Conference, 2005

2004
Leakage power reduction by dual-vth designs under probabilistic analysis of vth variation.
Proceedings of the 2004 International Symposium on Low Power Electronics and Design, 2004

A New Statistical Optimization Algorithm for Gate Sizing.
Proceedings of the 22nd IEEE International Conference on Computer Design: VLSI in Computers & Processors (ICCD 2004), 2004

Fast statistical timing analysis handling arbitrary delay correlations.
Proceedings of the 41th Design Automation Conference, 2004

2003
Minimization of dynamic and static power through joint assignment of threshold voltages and sizing optimization.
Proceedings of the 2003 International Symposium on Low Power Electronics and Design, 2003

2002
Impact of spatial intrachip gate length variability on theperformance of high-speed digital circuits.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2002

From blind certainty to informed uncertainty.
Proceedings of the 8th ACM/IEEE International Workshop on Timing Issues in the Specification and Synthesis of Digital Systems, 2002

A general probabilistic framework for worst case timing analysis.
Proceedings of the 39th Design Automation Conference, 2002

2001
Efficient generation of pre-silicon MOS model parameters for early circuit design.
IEEE J. Solid State Circuits, 2001

2000
Impact of Systematic Spatial Intra-Chip Gate Length Variability on Performance of High-Speed Digital Circuits.
Proceedings of the 2000 IEEE/ACM International Conference on Computer-Aided Design, 2000

New paradigm of predictive MOSFET and interconnect modeling for early circuit simulation.
Proceedings of the IEEE 2000 Custom Integrated Circuits Conference, 2000

1998
A Statistical Performance Simulation Methodology for VLSI Circuits.
Proceedings of the 35th Conference on Design Automation, 1998


  Loading...