Mark Hempstead

According to our database1, Mark Hempstead authored at least 52 papers between 2004 and 2023.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2023
Boreas: A Cost-Effective Mitigation Method for Advanced Hotspots using Machine Learning and Hardware Telemetry.
Proceedings of the IEEE International Symposium on Performance Analysis of Systems and Software, 2023

VelociTI: An Architecture-level Performance Modeling Framework for Trapped Ion Quantum Computers.
Proceedings of the IEEE International Symposium on Workload Characterization, 2023

CInC: Workload Characterization In Context of Resource Contention.
Proceedings of the IEEE International Symposium on Workload Characterization, 2023

2022
CASHT: Contention Analysis in Shared Hierarchies with Thefts.
ACM Trans. Archit. Code Optim., 2022

PInTE: Probabilistic Induction of Theft Evictions.
Proceedings of the IEEE International Symposium on Workload Characterization, 2022

NVMExplorer: A Framework for Cross-Stack Comparisons of Embedded Non-Volatile Memories.
Proceedings of the IEEE International Symposium on High-Performance Computer Architecture, 2022

Hercules: Heterogeneity-Aware Inference Serving for At-Scale Personalized Recommendation.
Proceedings of the IEEE International Symposium on High-Performance Computer Architecture, 2022

2021
Thermal-Aware Overclocking for Smartphones.
Proceedings of the IEEE International Symposium on Performance Analysis of Systems and Software, 2021

Understanding Capacity-Driven Scale-Out Neural Recommendation Inference.
Proceedings of the IEEE International Symposium on Performance Analysis of Systems and Software, 2021

HotGauge: A Methodology for Characterizing Advanced Hotspots in Modern and Next Generation Processors.
Proceedings of the IEEE International Symposium on Workload Characterization, 2021

2020
C^2AFE: Capacity Curve Annotation and Feature Extraction for Shared Cache Analysis.
Proceedings of the IEEE International Symposium on Performance Analysis of Systems and Software, 2020

RecNMP: Accelerating Personalized Recommendation with Near-Memory Processing.
Proceedings of the 47th ACM/IEEE Annual International Symposium on Computer Architecture, 2020

Early-stage Automated Accelerator Identification Tool for Embedded Systems with Limited Area.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2020

SnackNoC: Processing in the Communication Layer.
Proceedings of the IEEE International Symposium on High Performance Computer Architecture, 2020

The Architectural Implications of Facebook's DNN-Based Personalized Recommendation.
Proceedings of the IEEE International Symposium on High Performance Computer Architecture, 2020

Early-stage Automated Identification of Similar Hardware Implementations with Abstract-Syntax-Tree.
Proceedings of the FPGA '20: The 2020 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, 2020

Early-stage Automated Identification Tool for Shared Accelerators.
Proceedings of the 28th IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2020

2019
Quantifying Process Variations and Its Impacts on Smartphones.
Proceedings of the IEEE International Symposium on Performance Analysis of Systems and Software, 2019

Evaluation of Non-Volatile Memory Based Last Level Cache Given Modern Use Case Behavior.
Proceedings of the IEEE International Symposium on Workload Characterization, 2019

2018
SynchroTrace: Synchronization-Aware Architecture-Agnostic Traces for Lightweight Multicore Simulation of CMP and HPC Workloads.
ACM Trans. Archit. Code Optim., 2018

Towards Cross-Framework Workload Analysis via Flexible Event-Driven Interfaces.
Proceedings of the IEEE International Symposium on Performance Analysis of Systems and Software, 2018

Machine Learning on the Thermal Side-Channel: Analysis of Accelerator-Rich Architectures.
Proceedings of the 36th IEEE International Conference on Computer Design, 2018

2017
Separated By Birth: Hidden Differences Between Seemingly-Identical Smartphone CPUs.
Proceedings of the 18th International Workshop on Mobile Computing Systems and Applications, 2017

2016
Algorithms for CPU and DRAM DVFS under inefficiency constraints.
Proceedings of the 34th IEEE International Conference on Computer Design, 2016

2015
Epoch Profiles: Microarchitecture-Based Application Analysis and Optimization.
IEEE Comput. Archit. Lett., 2015

Effects of Nondeterminism in Hardware and Software Simulation with Thread Mapping.
Proceedings of the 28th International Conference on VLSI Design, 2015

Can You Trust Your Memory Trace? A Comparison of Memory Traces from Binary Instrumentation and Simulation.
Proceedings of the 28th International Conference on VLSI Design, 2015

Synchrotrace: synchronization-aware architecture-agnostic traces for light-weight multicore simulation.
Proceedings of the 2015 IEEE International Symposium on Performance Analysis of Systems and Software, 2015

Energy-Performance Trade-offs on Energy-Constrained Devices with Multi-component DVFS.
Proceedings of the 2015 IEEE International Symposium on Workload Characterization, 2015

Combative cache efficacy techniques: Cache replacement in the context of independent prefetching in last level cache.
Proceedings of the 33rd IEEE International Conference on Computer Design, 2015

Power-agility metrics: Measuring dynamic characteristics of energy proportionality.
Proceedings of the 33rd IEEE International Conference on Computer Design, 2015

Uncore RPD: Rapid Design Space Exploration of the Uncore via Regression Modeling.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2015

2014
Static thread mapping for NoCs via binary instrumentation traces.
Proceedings of the 32nd IEEE International Conference on Computer Design, 2014

2013
Metrics for Early-Stage Modeling of Many-Accelerator Architectures.
IEEE Comput. Archit. Lett., 2013

Platform-independent analysis of function-level communication in workloads.
Proceedings of the IEEE International Symposium on Workload Characterization, 2013

Register allocation and VDD-gating algorithms for out-of-order architectures.
Proceedings of the 2013 IEEE 31st International Conference on Computer Design, 2013

Characterizing the costs and benefits of hardware parallelism in accelerator cores.
Proceedings of the 2013 IEEE 31st International Conference on Computer Design, 2013

2012
The accelerator store: A shared memory framework for accelerator-based systems.
ACM Trans. Archit. Code Optim., 2012

Flexible register management using reference counting.
Proceedings of the 18th IEEE International Symposium on High Performance Computer Architecture, 2012

2011
An Accelerator-Based Wireless Sensor Network Processor in 130 nm CMOS.
IEEE J. Emerg. Sel. Topics Circuits Syst., 2011

The Case for Power-Agile Computing.
Proceedings of the 13th Workshop on Hot Topics in Operating Systems, 2011

Evaluation of an accelerator architecture for speckle reducing anisotropic diffusion.
Proceedings of the 14th International Conference on Compilers, 2011

2010
The Accelerator Store framework for high-performance, low-power accelerator-based systems.
IEEE Comput. Archit. Lett., 2010

2009
An accelerator-based wireless sensor network processor in 130nm CMOS.
Proceedings of the 2009 International Conference on Compilers, 2009

2008
Survey of Hardware Systems for Wireless Sensor Networks.
J. Low Power Electron., 2008

System design considerations for sensor network applications.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2008), 2008

2006
A Realistic Power Consumption Model for Wireless Sensor Network Devices.
Proceedings of the Third Annual IEEE Communications Society on Sensor and Ad Hoc Communications and Networks, 2006

Architecture and circuit techniques for low-throughput, energy-constrained systems across technology generations.
Proceedings of the 2006 International Conference on Compilers, 2006

2005
Power and thermal effects of SRAM vs. Latch-Mux design styles and clock gating choices.
Proceedings of the 2005 International Symposium on Low Power Electronics and Design, 2005

An Ultra Low Power System Architecture for Sensor Network Applications.
Proceedings of the 32st International Symposium on Computer Architecture (ISCA 2005), 2005

2004
Simulating the power consumption of large-scale sensor network applications.
Proceedings of the 2nd International Conference on Embedded Networked Sensor Systems, 2004

TinyBench: The Case For A Standardized Benchmark Suite for TinyOS Based Wireless Sensor Network Devices.
Proceedings of the 29th Annual IEEE Conference on Local Computer Networks (LCN 2004), 2004


  Loading...