Ragh Kuttappa

Orcid: 0000-0003-1022-2187

According to our database1, Ragh Kuttappa authored at least 19 papers between 2016 and 2022.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2022
Multiphase Digital Low-Dropout Regulators.
IEEE Trans. Very Large Scale Integr. Syst., 2022

Resonant Rotary Clock Synchronization with Active and Passive Silicon Interposer.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2022

A 0.45 pJ/bit 20 Gb/s/Wire Parallel Die-to-Die Interface with Rotary Traveling Wave Oscillators.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2022

2021
Resonant Clock Synchronization With Active Silicon Interposer for Multi-Die Systems.
IEEE Trans. Circuits Syst. I Regul. Pap., 2021

Scalable Resonant Power Clock Generation for Adiabatic Logic Design.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2021

2020
FinFET - Based Low Swing Rotary Traveling Wave Oscillators.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2020

Comprehensive Low Power Adiabatic Circuit Design with Resonant Power Clocking.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2020

SnackNoC: Processing in the Communication Layer.
Proceedings of the IEEE International Symposium on High Performance Computer Architecture, 2020

2019
FOPAC: Flexible On-Chip Power and Clock.
IEEE Trans. Circuits Syst. I Regul. Pap., 2019

RotaSYN: Rotary Traveling Wave Oscillator SYNthesizer.
IEEE Trans. Circuits Syst. I Regul. Pap., 2019

Distributed Digital Low-Dropout Regulators with Phase Interleaving for On-Chip Voltage Noise Mitigation.
Proceedings of the 21st ACM/IEEE International Workshop on System Level Interconnect Prediction, 2019

3D NoCs with active interposer for multi-die systems.
Proceedings of the 13th IEEE/ACM International Symposium on Networks-on-Chip, 2019

Robust Low Power Clock Synchronization for Multi-Die Systems.
Proceedings of the 2019 IEEE/ACM International Symposium on Low Power Electronics and Design, 2019

Low Swing - Low Frequency Rotary Traveling Wave Oscillators.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2019

2018
Low Frequency Rotary Traveling Wave Oscillators.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2018

2017
Stability of Rotary Traveling Wave Oscillators under process variations and NBTI.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2017

Reconfigurable threshold logic gates using optoelectronic capacitors.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2017

2016
Reliability analysis of spin transfer torque based look up tables under process variations and NBTI aging.
Microelectron. Reliab., 2016

Comparative analysis of robustness of spin transfer torque based look up tables under process variations.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2016


  Loading...