Neil C. Audsley

Orcid: 0000-0003-3739-6590

Affiliations:
  • University of York, UK


According to our database1, Neil C. Audsley authored at least 117 papers between 1992 and 2024.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2024
Hopscotch: A Hardware-Software Co-Design for Efficient Cache Resizing on Multi-Core SoCs.
IEEE Trans. Parallel Distributed Syst., January, 2024

2023
AXI-IC$^{\mathrm{ RT}}$ RT : Towards a Real-Time AXI-Interconnect for Highly Integrated SoCs.
IEEE Trans. Computers, March, 2023

Towards Hard Real-Time and Energy-Efficient Virtualization for Many-Core Embedded Systems.
IEEE Trans. Computers, 2023

A High-Resilience Imprecise Computing Architecture for Mixed-Criticality Systems.
IEEE Trans. Computers, 2023

2022
Bridging the Pragmatic Gaps for Mixed-Criticality Systems in the Automotive Industry.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

Toward an Analysable, Scalable, Energy-Efficient I/O Virtualization for Mixed-Criticality Systems.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

BlueVisor: Time-Predictable Hardware Hypervisor for Many-Core Embedded Systems.
IEEE Trans. Computers, 2022

Towards an energy-efficient quarter-clairvoyant mixed-criticality system.
J. Syst. Archit., 2022

PSpSys: A time-predictable mixed-criticality system architecture based on ARM TrustZone.
J. Syst. Archit., 2022

BlueScale: a scalable memory architecture for predictable real-time computing on highly integrated SoCs.
Proceedings of the DAC '22: 59th ACM/IEEE Design Automation Conference, San Francisco, California, USA, July 10, 2022

2021
HIART-MCS: High Resilience and Approximated Computing Architecture for Imprecise Mixed-Criticality Systems.
Proceedings of the 42nd IEEE Real-Time Systems Symposium, 2021

Brief Industry Paper: AXI-Interconnect<sup>RT</sup>: Towards a Real-Time AXI-Interconnect for System-on-Chips.
Proceedings of the 27th IEEE Real-Time and Embedded Technology and Applications Symposium, 2021

I/O-GUARD: Hardware/Software Co-Design for I/O Virtualization with Guaranteed Real-time Performance.
Proceedings of the 58th ACM/IEEE Design Automation Conference, 2021

Invited: Hardware/Software Co-Synthesis and Co-Optimization for Autonomous Systems.
Proceedings of the 58th ACM/IEEE Design Automation Conference, 2021

2020
Meshed Bluetree: Time-Predictable Multimemory Interconnect for Multicore Architectures.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020

Pythia-MCS: Enabling Quarter-Clairvoyance in I/O-Driven Mixed-Criticality Systems.
Proceedings of the 41st IEEE Real-Time Systems Symposium, 2020

Addressing Resource Contention and Timing Predictability for Multi-Core Architectures with Shared Memory Interconnects.
Proceedings of the IEEE Real-Time and Embedded Technology and Applications Symposium, 2020

Re-Thinking Mixed-Criticality Architecture for Automotive Industry.
Proceedings of the 38th IEEE International Conference on Computer Design, 2020

2019
BlueIO: A Scalable Real-Time Hardware I/O Virtualization System for Many-core Embedded Systems.
ACM Trans. Embed. Comput. Syst., 2019

Many suspensions, many problems: a review of self-suspending tasks in real-time systems.
Real Time Syst., 2019

MCS-IOV: Real-Time I/O Virtualization for Mixed-Criticality Systems.
Proceedings of the IEEE Real-Time Systems Symposium, 2019

2018
Errata for Three Papers (2004-05) on Fixed-Priority Scheduling with Self-Suspensions.
Leibniz Trans. Embed. Syst., 2018

BlueVisor: A Scalable Real-Time Hardware Hypervisor for Many-Core Embedded Systems.
Proceedings of the IEEE Real-Time and Embedded Technology and Applications Symposium, 2018

2017
A Globally Arbitrated Memory Tree for Mixed-Time-Criticality Systems.
IEEE Trans. Computers, 2017

A Distributed Stream Library for Java 8.
IEEE Trans. Big Data, 2017

Dynamic and Static Task Allocation for Hard Real-Time Video Stream Decoding on NoCs.
Leibniz Trans. Embed. Syst., 2017

VCDC: The Virtualized Complicated Device Controller.
Proceedings of the 29th Euromicro Conference on Real-Time Systems, 2017

GPIOCP: Timing-accurate general purpose I/O controller for many-core real-time systems.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2017

2016
Architecting Time-Critical Big-Data Systems.
IEEE Trans. Big Data, 2016

Exploring storage bottlenecks in Linux-based embedded systems.
SIGBED Rev., 2016

2015
T-CREST: Time-predictable multi-core architecture for embedded systems.
J. Syst. Archit., 2015

Toolchain-based approach to handling variability in embedded multiprocessor system on chips.
IET Comput. Digit. Tech., 2015

Improving the predictability of distributed stream processors.
Future Gener. Comput. Syst., 2015

Transparent hardware synthesis of Java for predictable large-scale distributed systems.
CoRR, 2015

Reducing the Implementation Overheads of IPCP and DFP.
Proceedings of the 2015 IEEE Real-Time Systems Symposium, 2015

Task allocation for decoding multiple hard real-time video streams on homogeneous NoCs.
Proceedings of the 13th IEEE International Conference on Industrial Informatics, 2015

Bio-inspired distributed task remapping for multiple video stream decoding on homogeneous NoCs.
Proceedings of the 13th IEEE Symposium on Embedded Systems For Real-time Multimedia, 2015

A generic, scalable and globally arbitrated memory tree for shared DRAM access in real-time systems.
Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, 2015

2014
Explicit reservation of cache memory in a predictable, preemptive multitasking real-time system.
ACM Trans. Embed. Comput. Syst., 2014

Real-time big data: the Juniper approach.
Proceedings of the REACTION 2014, 2014

Predictability and Utilisation Trade-off in the Dynamic Management of Multiple Video Stream Decoding on Network-on-Chip based Homogeneous Embedded Multi-cores.
Proceedings of the 22nd International Conference on Real-Time Networks and Systems, 2014

WCET Preserving Hardware Prefetch for Many-Core Real-Time Systems.
Proceedings of the 22nd International Conference on Real-Time Networks and Systems, 2014

Architecture-Awareness for Real-Time Big Data Systems.
Proceedings of the 21st European MPI Users' Group Meeting, 2014

On the Locality of Java 8 Streams in Real-Time Big Data Applications.
Proceedings of the 12th International Workshop on Java Technologies for Real-time and Embedded Systems, 2014

2013
Blueshell: a platform for rapid prototyping of multiprocessor NoCs and accelerators.
SIGARCH Comput. Archit. News, 2013

Programming FPGA based NoCs with Java.
Proceedings of the 2012 International Conference on Reconfigurable Computing and FPGAs, 2013

Prefetching across a shared memory tree within a Network-on-Chip architecture.
Proceedings of the 2013 International Symposium on System on Chip, 2013

Real-Time Systems - the past, the present, and the future.
CreateSpace Independent Publishing Platform, ISBN: 978-1-482-70778-6, 2013

2012
Investigation of Scratchpad Memory for Preemptive Multitasking.
Proceedings of the 33rd IEEE Real-Time Systems Symposium, 2012

Explicit Reservation of Local Memory in a Predictable, Preemptive Multitasking Real-Time System.
Proceedings of the 2012 IEEE 18th Real Time and Embedded Technology and Applications Symposium, 2012

Developing Predictable Real-Time Embedded Systems Using AnvilJ.
Proceedings of the 2012 IEEE 18th Real Time and Embedded Technology and Applications Symposium, 2012

Challenges in software development for multicore System-on-Chip development.
Proceedings of the 23rd IEEE International Symposium on Rapid System Prototyping, 2012

Translating Java for resource constrained embedded systems.
Proceedings of the 7th International Workshop on Reconfigurable and Communication-Centric Systems-on-Chip (ReCoSoC), 2012

A MARTE subset to enable application-platform co-simulation and schedulability analysis of NoC-based embedded systems.
Proceedings of the 7th International Workshop on Reconfigurable and Communication-Centric Systems-on-Chip (ReCoSoC), 2012

ToucHMore toolchain and system software for energy and variability customisation.
Proceedings of the 2012 IEEE International High Level Design Validation and Test Workshop, 2012

Optimal Program Partitioning for Predictable Performance.
Proceedings of the 24th Euromicro Conference on Real-Time Systems, 2012

2011
Extending Java for heterogeneous embedded system description.
Proceedings of the 6th International Workshop on Reconfigurable Communication-centric Systems-on-Chip, 2011

Targeting complex embedded architectures by combining the multicore communications API (mcapi) with compile-time virtualisation.
Proceedings of the ACM SIGPLAN/SIGBED 2011 conference on Languages, 2011

Model-Based Hardware Generation and Programming - The MADES Approach.
Proceedings of the 14th IEEE International Symposium on Object/Component/Service-Oriented Real-Time Distributed Computing Workshops, 2011

2010
Time-Predictable Out-of-Order Execution for Hard Real-Time Systems.
IEEE Trans. Computers, 2010

Distributed Fault-Tolerant Avionic Systems - A Real-Time Perspective
CoRR, 2010

Supporting islands of coherency for highly-parallel embedded architectures using compile-time virtualisation.
Proceedings of the 13th International Workshop on Software and Compilers for Embedded Systems, 2010

Studying the Applicability of the Scratchpad Memory Management Unit.
Proceedings of the 16th IEEE Real-Time and Embedded Technology and Applications Symposium, 2010

Investigating Average versus Worst-Case Timing Behavior of Data Caches and Data Scratchpads.
Proceedings of the 22nd Euromicro Conference on Real-Time Systems, 2010

Combining Behavioural Real-time Software Modelling with the OSCI TLM-2.0 Communication Standard.
Proceedings of the 10th IEEE International Conference on Computer and Information Technology, 2010

2009
Using hardware methods to improve time-predictable performance in real-time Java systems.
Proceedings of the 7th International Workshop on Java Technologies for Real-Time and Embedded Systems, 2009

A Mixed Timing System-Level Embedded Software Modelling and Simulation Approach.
Proceedings of the International Conference on Embedded Software and Systems, 2009

Synthesis of the SR programming language for complex FPGAs.
Proceedings of the 19th International Conference on Field Programmable Logic and Applications, 2009

Implementing time-predictable load and store operations.
Proceedings of the 9th ACM & IEEE International conference on Embedded software, 2009

Exposing non-standard architectures to embedded software using compile-time virtualisation.
Proceedings of the 2009 International Conference on Compilers, 2009

2008
Traces as a Solution to Pessimism and Modeling Costs in WCET Analysis.
Proceedings of the 8th Intl. Workshop on Worst-Case Execution Time (WCET) Analysis, 2008

Predictable Out-of-Order Execution Using Virtual Traces.
Proceedings of the 29th IEEE Real-Time Systems Symposium, 2008

Forming Virtual Traces for WCET Analysis and Reduction.
Proceedings of the Fourteenth IEEE Internationl Conference on Embedded and Real-Time Computing Systems and Applications, 2008

Using Trace Scratchpads to Reduce Execution Times in Predictable Real-Time Architectures.
Proceedings of the 14th IEEE Real-Time and Embedded Technology and Applications Symposium, 2008

2007
A Deterministic Implementation Process for Accurate and Traceable System Timing and Space Analysis.
Proceedings of the 13th IEEE International Conference on Embedded and Real-Time Computing Systems and Applications (RTCSA 2007), 2007

Efficiently Accessing Remote Resources in Distributed Real-Time Systems.
Proceedings of the 13th IEEE International Conference on Embedded and Real-Time Computing Systems and Applications (RTCSA 2007), 2007

An Efficient Page Lock/Release OS Mechanism for Out-of-Core Embedded Applications.
Proceedings of the 13th IEEE International Conference on Embedded and Real-Time Computing Systems and Applications (RTCSA 2007), 2007

Suggestions for stream based parallel systems in Ada.
Proceedings of the 13th International Workshop on Real-Time Ada, 2007

2006
Optimal priority assignment in the presence of blocking.
Inf. Process. Lett., 2006

MCGREP - A Predictable Architecture for Embedded Real-Time Systems.
Proceedings of the 27th IEEE Real-Time Systems Symposium (RTSS 2006), 2006

A co-design strategy for embedded Java applications based on a hardware interface with invocation semantics.
Proceedings of the 4th international workshop on Java technologies for real-time and embedded systems, 2006

Towards a File System Interface for Mobile Resources in Networked Embedded Systems.
Proceedings of 11th IEEE International Conference on Emerging Technologies and Factory Automation, 2006

Syntax-driven implementation of software programming language control constructs and expressions on FPGAs.
Proceedings of the 2006 International Conference on Compilers, 2006

Integrating Custom Instruction Specifications into C Development Processes.
Proceedings of the Reconfigurable Computing: Architectures and Applications, 2006

2005
Extended Analysis with Reduced Pessimism for Systems with Limited Parallelism.
Proceedings of the 11th IEEE International Conference on Embedded and Real-Time Computing Systems and Applications (RTCSA 2005), 2005

Implementing Application Specific RTOS Policies using Reflection.
Proceedings of the 11th IEEE Real-Time and Embedded Technology and Applications Symposium (RTAS 2005), 2005

Efficient Access of Remote Resources in Embedded Networked Computer Systems.
Proceedings of the Embedded and Ubiquitous Computing - EUC 2005 Workshops, 2005

2004
A selection mechanism based upon the explicit expression of preference.
Proceedings of the 1st ACM SIGSOFT Workshop on Self-Managed Systems, 2004

Realistic Analysis of Limited Parallel Software / Hardware Implementations.
Proceedings of the 10th IEEE Real-Time and Embedded Technology and Applications Symposium (RTAS 2004), 2004

Improving certification capability through automatic code generation.
Proceedings of the Building the Information Society, 2004

Model driven architecture as a facilitator for automatic code generation.
Proceedings of the IASTED International Conference on Software Engineering, 2004

Flexible Design of Complex High-Integrity Systems Using Trade Offs.
Proceedings of the 8th IEEE International Symposium on High-Assurance Systems Engineering (HASE 2004), 2004

Fixed Priority Timing Analysis of Real-Time Systems with Limited Parallelism.
Proceedings of the 16th Euromicro Conference on Real-Time Systems (ECRTS 2004), 30 June, 2004

2002
Hardware Implementation of Programming Languages for Real-Tim.
Proceedings of the 8th IEEE Real-Time and Embedded Technology and Applications Symposium (RTAS 2002), 2002

Language issues of compiling Ada to hardware.
Proceedings of the 11th International Workshop on Real-Time Ada, 2002

Hardware implementation of the Ravenscar Ada tasking profile.
Proceedings of the International Conference on Compilers, 2002

2001
On priority assignment in fixed priority scheduling.
Inf. Process. Lett., 2001

Predictable and Efficient Virtual Addressing for Safety-Critical Real-Time Systems.
Proceedings of the 13th Euromicro Conference on Real-Time Systems (ECRTS 2001), 2001

Reservation-Based Timing Analysis -A Practical Engineering Approach for Distributed Real-Time Systems.
Proceedings of the 8th IEEE International Conference on Engineering of Computer-Based Systems (ECBS 2001), 2001

Hardware compilation of sequential Ada.
Proceedings of the 2001 International Conference on Compilers, 2001

2000
Issues with using Ravenscar and the Ada distributed systems annex for high-integrity systems.
Proceedings of the 10th International Workshop on Real-Time Ada, 2000

1999
Towards a scheduling and timing analysis solution for integrated modular avionic systems.
Microprocess. Microsystems, 1999

Portable Code for Complex Critical Systems .
Proceedings of the 6th International Workshop on Real-Time Computing and Applications Symposium (RTCSA '99), 1999

1998
On Fixed Priority Scheduling, Offsets and Co-Prime Task Periods.
Inf. Process. Lett., 1998

1997
Timing analysis of the ARINC 629 databus for real-time applications.
Microprocess. Microsystems, 1997

1996
Integrating optional software components into hard real-time systems.
Softw. Eng. J., 1996

Analysing APEX applications.
Proceedings of the 17th IEEE Real-Time Systems Symposium (RTSS '96), 1996

Putting fixed priority scheduling theory into engineering practice for safety critical applications.
Proceedings of the 2nd IEEE Real-Time Technology and Applications Symposium, 1996

1995
Fixed Priority Pre-emptive Scheduling: An Historical Perspective.
Real Time Syst., 1995

Data Consistency in Hard Real-Time Systems.
Informatica (Slovenia), 1995

Flexible scheduling for adaptable real-time systems.
Proceedings of the 1st IEEE Real-Time Technology and Applications Symposium, 1995

Real-time distributed computing.
Proceedings of the 5th IEEE Workshop on Future Trends of Distributed Computing Systems (FTDCS 1995), 1995

1994
STRESS: a Simulator for Hard Real-time Systems.
Softw. Pract. Exp., 1994

Mechanisms for Enhancing the Flexibility and Utility of Hard Real-Time Systems.
Proceedings of the 15th IEEE Real-Time Systems Symposium (RTSS '94), 1994

1993
Applying new scheduling theory to static priority pre-emptive scheduling.
Softw. Eng. J., 1993

The End Of The Line For Static Cyclic Scheduling?
Proceedings of the Fifth Euromicro Workshop on Real-Time Systems, 1993

1992
Absolute and relative temporal constraints in hard real-time databases.
Proceedings of the Fourth Euromicro workshop on Real-Time Systems, 1992


  Loading...