Martin Schoeberl

Orcid: 0000-0003-2366-382X

Affiliations:
  • Technical University of Denmark


According to our database1, Martin Schoeberl authored at least 190 papers between 2003 and 2023.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2023
Chip Design and Verification in a Computer Engineering Education.
Computer, November, 2023

Verification of Chisel Hardware Designs with ChiselVerify.
Microprocess. Microsystems, February, 2023

Constant-Loop Dominators for Single-Path Code Optimization.
Proceedings of the 21th International Workshop on Worst-Case Execution Time Analysis, 2023

Transitioning to Chisel in University Education: Experiences and Lessons Learned.
Proceedings of the IEEE Nordic Circuits and Systems Conference, 2023

Dynamic nsNET2: Efficient Deep Noise Suppression with Early Exiting.
Proceedings of the 33rd IEEE International Workshop on Machine Learning for Signal Processing, 2023

Compiler-Directed Constant Execution Time on Flat Memory Systems.
Proceedings of the 26th IEEE International Symposium on Real-Time Distributed Computing, 2023

FPGA-tidbits: Rapid Prototyping of FPGA Accelerators in Chisel.
Proceedings of the 26th Euromicro Conference on Digital System Design, 2023

On the Feasibility of using FPGA's for Efficient Topology Optimization.
Proceedings of the 26th Euromicro Conference on Digital System Design, 2023

InterPRET: a Time-predictable Multicore Processor.
Proceedings of Cyber-Physical Systems and Internet of Things Week 2023, 2023

2022
Comparing timed-division multiplexing and best-effort networks-on-chip.
J. Syst. Archit., 2022

Energy Consumption and Performance of Heapsort in Hardware and Software.
CoRR, 2022

Towards Comparing Performance of Algorithms in Hardware and Software.
CoRR, 2022

Timing Analysis of TSN-Enabled OPC UA PubSub.
Proceedings of the 18th IEEE International Conference on Factory Communication Systems, 2022


Enabling Coverage-Based Verification in Chisel.
Proceedings of the IEEE European Test Symposium, 2022

Open-Source Research on Time-predictable Computer Architecture.
Proceedings of the 25th Euromicro Conference on Digital System Design, 2022

Keynote Speakers.
Proceedings of the 25th Euromicro Conference on Digital System Design, 2022

2021
Compiling for time-predictability with dual-issue single-path code.
J. Syst. Archit., 2021

Open-Source Verification with Chisel and Scala.
CoRR, 2021

Static Timing Analysis of OPC UA PubSub.
Proceedings of the 17th IEEE International Conference on Factory Communication Systems, 2021

Accelerator Interface for Patmos.
Proceedings of the IEEE Nordic Circuits and Systems Conference, NorCAS 2021, Oslo, 2021

ChiselVerify: An Open-Source Hardware Verification Library for Chisel and Scala.
Proceedings of the IEEE Nordic Circuits and Systems Conference, NorCAS 2021, Oslo, 2021

Fault-tolerant Clock Synchronization using Precise Time Protocol Multi-Domain Aggregation.
Proceedings of the 24th IEEE International Symposium on Real-Time Distributed Computing, 2021

Synchronizing Real-Time Tasks in Time-Triggered Networks.
Proceedings of the 24th IEEE International Symposium on Real-Time Distributed Computing, 2021

Experiences from Adjusting Industrial Software for Worst-Case Execution Time Analysis.
Proceedings of the 24th IEEE International Symposium on Real-Time Distributed Computing, 2021

Evaluating a Time-Triggered Runtime System by Distributing a Flight Controller.
Proceedings of the 26th IEEE International Conference on Emerging Technologies and Factory Automation, 2021

2020
A time-predictable open-source TTEthernet end-system.
J. Syst. Archit., 2020

Towards Dual-Issue Single-Path Code.
Proceedings of the 23rd IEEE International Symposium on Real-Time Distributed Computing, 2020

Synchronizing Real-Time Tasks in Time-Aware Networks: Work-in-Progress.
Proceedings of the 20th International Conference on Embedded Software, 2020

Formal Semantics of Predictable Pipelines: a Comparative Study.
Proceedings of the 25th Asia and South Pacific Design Automation Conference, 2020

2019
Time-predictable distributed shared on-chip memory.
Microprocess. Microsystems, 2019

Time-predictable synchronization support with a shared scratchpad memory.
Microprocess. Microsystems, 2019

Hardlock: Real-time multicore locking.
J. Syst. Archit., 2019

A time-predictable branch predictor.
Proceedings of the 34th ACM/SIGAPP Symposium on Applied Computing, 2019

A Fault-Tolerant Time-Predictable Processor.
Proceedings of the 2019 IEEE Nordic Circuits and Systems Conference, 2019

S4NOC: a minimalistic network-on-chip for real-time multicores.
Proceedings of the 12th International Workshop on Network on Chip Architectures, 2019

A Time-predictable TTEthenet Node.
Proceedings of the IEEE 22nd International Symposium on Real-Time Distributed Computing, 2019

Demonstration of a Time-predictable Flight Controller on a Multicore Processor.
Proceedings of the IEEE 22nd International Symposium on Real-Time Distributed Computing, 2019

Implementing time-triggered communication over a standard ethernet switch.
Proceedings of the Workshop on Fog Computing and the IoT, 2019

Programs with ironclad timing guarantees: work-in-progress.
Proceedings of the International Conference on Embedded Software Companion, 2019

Scratchpad Memories with Ownership.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2019

Actors Revisited for Time-Critical Systems.
Proceedings of the 56th Annual Design Automation Conference 2019, 2019

Multicore Models of Communication for Cyber-Physical Systems.
Proceedings of the Cyber Physical Systems. Model-Based Design - 9th International Workshop, 2019

Towards Formal Co-validation of Hardware and Software Timing Models of CPSs.
Proceedings of the Cyber Physical Systems. Model-Based Design - 9th International Workshop, 2019

A Minimal Network Interface for a Simple Network-on-Chip.
Proceedings of the Architecture of Computing Systems - ARCS 2019, 2019

Leros: The Return of the Accumulator Machine.
Proceedings of the Architecture of Computing Systems - ARCS 2019, 2019

2018
Patmos: a time-predictable microprocessor.
Real Time Syst., 2018

Using dynamic partial reconfiguration of FPGAs in real-Time systems.
Microprocess. Microsystems, 2018

Direct garbage collection: two-fold speedup for managed language embedded systems.
Int. J. Embed. Syst., 2018

A Multicore Processor for Time-Critical Applications.
IEEE Des. Test, 2018

Hardware Assisted Clock Synchronization with the IEEE 1588-2008 Precision Time Protocol.
Proceedings of the 26th International Conference on Real-Time Networks and Systems, 2018

Time-Predictable Distributed Shared Memory for Multi-Core Processors.
Proceedings of the 2018 IEEE Nordic Circuits and Systems Conference, 2018

Hardlock: A Concurrent Real-Time Multicore Locking Unit.
Proceedings of the 21st IEEE International Symposium on Real-Time Distributed Computing, 2018

tpIP: A Time-Predictable TCP/IP Stack for Cyber-Physical Systems.
Proceedings of the 21st IEEE International Symposium on Real-Time Distributed Computing, 2018

Faster Function Blocks for Precision Timed Industrial Automation.
Proceedings of the 21st IEEE International Symposium on Real-Time Distributed Computing, 2018

Design of a time-predictable multicore processor: The T-CREST project.
Proceedings of the 2018 Design, Automation & Test in Europe Conference & Exhibition, 2018

One-way shared memory.
Proceedings of the 2018 Design, Automation & Test in Europe Conference & Exhibition, 2018

Lipsi: Probably the Smallest Processor in the World.
Proceedings of the Architecture of Computing Systems - ARCS 2018, 2018

2017
A resource-efficient network interface supporting low latency reconfiguration of virtual circuits in time-division multiplexing networks-on-chip.
J. Syst. Archit., 2017

Hardware locks for a real-time Java chip multiprocessor.
Concurr. Comput. Pract. Exp., 2017

Safety-critical Java for embedded systems.
Concurr. Comput. Pract. Exp., 2017

Best Practice for Caching of Single-Path Code.
Proceedings of the 17th International Workshop on Worst-Case Execution Time Analysis, 2017

Can real-time systems benefit from dynamic partial reconfiguration?
Proceedings of the IEEE Nordic Circuits and Systems Conference, 2017

A shared scratchpad memory with synchronization support.
Proceedings of the IEEE Nordic Circuits and Systems Conference, 2017

Timing Organization of a Real-Time Multicore Processor.
Proceedings of the New Generation of CAS, 2017

Real-Time Audio Processing on the T-Crest Multicore Platform.
Proceedings of the 11th IEEE International Symposium on Embedded Multicore/Many-core Systems-on-Chip, 2017

A Controller for Dynamic Partial Reconfiguration in FPGA-Based Real-Time Systems.
Proceedings of the 20th IEEE International Symposium on Real-Time Distributed Computing, 2017

Improving Performance of Single-Path Code through a Time-Predictable Memory Hierarchy.
Proceedings of the 20th IEEE International Symposium on Real-Time Distributed Computing, 2017

2016
Argo: A Real-Time Network-on-Chip Architecture With an Efficient GALS Implementation.
IEEE Trans. Very Large Scale Integr. Syst., 2016

Support for the logical execution time model on a time-predictable multicore processor.
SIGBED Rev., 2016

TACLeBench: A Benchmark Collection to Support Worst-Case Execution Time Research.
Proceedings of the 16th International Workshop on Worst-Case Execution Time Analysis, 2016

State-based Communication on Time-predictable Multicore Processors.
Proceedings of the 24th International Conference on Real-Time Networks and Systems, 2016

A Software Managed Stack Cache for Real-Time Systems.
Proceedings of the 24th International Conference on Real-Time Networks and Systems, 2016

Reconfiguration in FPGA-based multi-core platforms for hard real-time applications.
Proceedings of the 11th International Symposium on Reconfigurable Communication-centric Systems-on-Chip, 2016

Avionics Applications on a Time-Predictable Chip-Multiprocessor.
Proceedings of the 24th Euromicro International Conference on Parallel, 2016

A Stack Cache for Real-Time Systems.
Proceedings of the 19th IEEE International Symposium on Real-Time Distributed Computing, 2016

Time-Predictable Virtual Memory.
Proceedings of the 19th IEEE International Symposium on Real-Time Distributed Computing, 2016

Lessons learned from the EU project T-CREST.
Proceedings of the 2016 Design, Automation & Test in Europe Conference & Exhibition, 2016

2015
T-CREST: Time-predictable multi-core architecture for embedded systems.
J. Syst. Archit., 2015

A time-composable operating system for the Patmos processor.
Proceedings of the 30th Annual ACM Symposium on Applied Computing, 2015

Time-division multiplexing vs network calculus: a comparison.
Proceedings of the 23rd International Conference on Real Time Networks and Systems, 2015

Interfacing hardware accelerators to a time-division multiplexing network-on-chip.
Proceedings of the Nordic Circuits and Systems Conference, 2015

Multiprocessor Priority Ceiling Emulation for Safety-Critical Java.
Proceedings of the 13th International Workshop on Java Technologies for Real-time and Embedded Systems, 2015

Scala for Real-Time Systems?
Proceedings of the 13th International Workshop on Java Technologies for Real-time and Embedded Systems, 2015

Safety-Critical Java on a Time-Predictable Processor.
Proceedings of the 13th International Workshop on Java Technologies for Real-time and Embedded Systems, 2015

Hardware Locks with Priority Ceiling Emulation for a Java Chip-Multiprocessor.
Proceedings of the IEEE 18th International Symposium on Real-Time Distributed Computing, 2015

Message Passing on a Time-predictable Multicore Processor.
Proceedings of the IEEE 18th International Symposium on Real-Time Distributed Computing, 2015

Models of Communication for Multicore Processors.
Proceedings of the 2015 IEEE International Symposium on Object/Component/Service-Oriented Real-Time Distributed Computing Workshops, 2015

Stack Caching Using Split Data Caches.
Proceedings of the 2015 IEEE International Symposium on Object/Component/Service-Oriented Real-Time Distributed Computing Workshops, 2015

2014
A Time-Predictable Memory Network-on-Chip.
Proceedings of the 14th International Workshop on Worst-Case Execution Time Analysis, 2014

Scope-Based Method Cache Analysis.
Proceedings of the 14th International Workshop on Worst-Case Execution Time Analysis, 2014

Certifiable Java for Embedded Systems.
Proceedings of the 12th International Workshop on Java Technologies for Real-time and Embedded Systems, 2014

WCET-Based Comparison of an Instruction Scratchpad and a Method Cache.
Proceedings of the 17th IEEE International Symposium on Object/Component/Service-Oriented Real-Time Distributed Computing, 2014

An Evaluation of Safety-Critical Java on a Java Processor.
Proceedings of the 17th IEEE International Symposium on Object/Component/Service-Oriented Real-Time Distributed Computing, 2014

Reusable Libraries for Safety-Critical Java.
Proceedings of the 17th IEEE International Symposium on Object/Component/Service-Oriented Real-Time Distributed Computing, 2014

A Method Cache for Patmos.
Proceedings of the 17th IEEE International Symposium on Object/Component/Service-Oriented Real-Time Distributed Computing, 2014

2013
Data cache organization for accurate timing analysis.
Real Time Syst., 2013

Hardware support for CSP on a Java chip multiprocessor.
Microprocess. Microsystems, 2013

Micro-transactions for concurrent data structures.
Concurr. Comput. Pract. Exp., 2013

Static analysis of worst-case stack cache behavior.
Proceedings of the 21st International Conference on Real-Time Networks and Systems, 2013

Chip-multiprocessor hardware locks for safety-critical Java.
Proceedings of the 11th International Workshop on Java Technologies for Real-time and Embedded Systems, 2013

An SDRAM controller for real-time systems.
Proceedings of the 16th IEEE International Symposium on Object/Component/Service-Oriented Real-Time Distributed Computing, 2013

A time-predictable stack cache.
Proceedings of the 16th IEEE International Symposium on Object/Component/Service-Oriented Real-Time Distributed Computing, 2013

An area-efficient network interface for a TDM-based network-on-chip.
Proceedings of the Design, Automation and Test in Europe, 2013

2012
Fast, Interactive Worst-Case Execution Time Analysis With Back-Annotation.
IEEE Trans. Ind. Informatics, 2012

Safety-critical Java with cyclic executives on chip-multiprocessors.
Concurr. Comput. Pract. Exp., 2012

Worst-case execution time analysis-driven object cache design.
Concurr. Comput. Pract. Exp., 2012

Is time predictability quantifiable?
Proceedings of the 2012 International Conference on Embedded Computer Systems: Architectures, 2012

Static routing in symmetric real-time network-on-chips.
Proceedings of the 20th International Conference on Real-Time and Network Systems, 2012

A light-weight statically scheduled network-on-chip.
Proceedings of the NORCHIP 2012, Copenhagen, Denmark, November 12-13, 2012, 2012

A Statically Scheduled Time-Division-Multiplexed Network-on-Chip for Real-Time Systems.
Proceedings of the 2012 Sixth IEEE/ACM International Symposium on Networks-on-Chip (NoCS), 2012

A desktop 3D printer in safety-critical Java.
Proceedings of the 10th International Workshop on Java Technologies for Real-time and Embedded Systems, 2012

Safety-critical Java on a Java processor.
Proceedings of the 10th International Workshop on Java Technologies for Real-time and Embedded Systems, 2012

Patterns for safety-critical Java memory usage.
Proceedings of the 10th International Workshop on Java Technologies for Real-time and Embedded Systems, 2012

On the scalability of time-predictable chip-multiprocessing.
Proceedings of the 10th International Workshop on Java Technologies for Real-time and Embedded Systems, 2012

Private memory allocation analysis for safety-critical Java.
Proceedings of the 10th International Workshop on Java Technologies for Real-time and Embedded Systems, 2012

Hardware Support for Safety-Critical Java Scope Checks.
Proceedings of the 15th IEEE International Symposium on Object/Component/Service-Oriented Real-Time Distributed Computing, 2012

Worst-Case Execution Time Based Optimization of Real-Time Java Programs.
Proceedings of the 15th IEEE International Symposium on Object/Component/Service-Oriented Real-Time Distributed Computing, 2012

2011
A Hardware Abstraction Layer in Java.
ACM Trans. Embed. Comput. Syst., 2011

Introduction to the Special Issue: JTRES 2009.
Concurr. Comput. Pract. Exp., 2011

ejIP: a TCP/IP stack for embedded Java.
Proceedings of the 9th International Conference on Principles and Practice of Programming in Java, 2011

Portable and accurate collection of calling-context-sensitive bytecode metrics for the Java virtual machine.
Proceedings of the 9th International Conference on Principles and Practice of Programming in Java, 2011

User-defined clocks in the real-time specification for Java.
Proceedings of the 9th International Workshop on Java Technologies for Real-time and Embedded Systems, 2011

Memory management for safety-critical Java.
Proceedings of the 9th International Workshop on Java Technologies for Real-time and Embedded Systems, 2011

Real-time wait-free queues using micro-transactions.
Proceedings of the 9th International Workshop on Java Technologies for Real-time and Embedded Systems, 2011

Java dust: how small can embedded Java be?
Proceedings of the 9th International Workshop on Java Technologies for Real-time and Embedded Systems, 2011

Design Space Exploration of Object Caches with Cross-Profiling.
Proceedings of the 14th IEEE International Symposium on Object/Component/Service-Oriented Real-Time Distributed Computing, 2011

A Time-Predictable Object Cache.
Proceedings of the 14th IEEE International Symposium on Object/Component/Service-Oriented Real-Time Distributed Computing, 2011

Hardware synchronization for embedded multi-core processors.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2011), 2011

Leros: A Tiny Microcontroller for FPGAs.
Proceedings of the International Conference on Field Programmable Logic and Applications, 2011

Towards a Time-predictable Dual-Issue Microprocessor: The Patmos Approach.
Proceedings of the Bringing Theory to Practice: Predictability and Performance in Embedded Systems, 2011

2010
Nonblocking real-time garbage collection.
ACM Trans. Embed. Comput. Syst., 2010

A real-time Java chip-multiprocessor.
ACM Trans. Embed. Comput. Syst., 2010

Worst-case execution time analysis for a Java processor.
Softw. Pract. Exp., 2010

Scheduling of hard real-time garbage collection.
Real Time Syst., 2010

Code Generation for Embedded Java with Ptolemy.
Proceedings of the Software Technologies for Embedded and Ubiquitous Systems, 2010

RTTM: real-time transactional memory.
Proceedings of the 2010 ACM Symposium on Applied Computing (SAC), 2010

The embedded Java benchmark suite JemBench.
Proceedings of the 8th International Workshop on Java Technologies for Real-Time and Embedded Systems, 2010

Cyclic executive for safety-critical Java on chip-multiprocessors.
Proceedings of the 8th International Workshop on Java Technologies for Real-Time and Embedded Systems, 2010

Object oriented machine learning with a multicore real-time Java processor: short paper.
Proceedings of the 8th International Workshop on Java Technologies for Real-Time and Embedded Systems, 2010

Exhaustive testing of safety critical Java.
Proceedings of the 8th International Workshop on Java Technologies for Real-Time and Embedded Systems, 2010

WCET driven design space exploration of an object cache.
Proceedings of the 8th International Workshop on Java Technologies for Real-Time and Embedded Systems, 2010

Worst-Case Analysis of Heap Allocations.
Proceedings of the Leveraging Applications of Formal Methods, Verification, and Validation, 2010

Design and Implementation of Real-Time Transactional Memory.
Proceedings of the International Conference on Field Programmable Logic and Applications, 2010

2009
Cross-profiling for Java processors.
Softw. Pract. Exp., 2009

Time-Predictable Computer Architecture.
EURASIP J. Embed. Syst., 2009

Is Chip-Multiprocessing the End of Real-Time Scheduling?.
Proceedings of the 9th Intl. Workshop on Worst-Case Execution Time Analysis, 2009

Comparison of Implicit Path Enumeration and Model Checking Based WCET Analysis.
Proceedings of the 9th Intl. Workshop on Worst-Case Execution Time Analysis, 2009

A Single-Path Chip-Multiprocessor System.
Proceedings of the Software Technologies for Embedded and Ubiquitous Systems, 2009

Towards Time-Predictable Data Caches for Chip-Multiprocessors.
Proceedings of the Software Technologies for Embedded and Ubiquitous Systems, 2009

Design Space Exploration for Java Processors with Cross-Profiling.
Proceedings of the QEST 2009, 2009

Locating Performance Bottlenecks in Embedded Java Software with Calling-Context Cross-Profiling.
Proceedings of the QEST 2009, 2009

Analyzing performance and dynamic behavior of embedded Java software with calling-context cross-profiling.
Proceedings of the 7th International Conference on Principles and Practice of Programming in Java, 2009

Using hardware methods to improve time-predictable performance in real-time Java systems.
Proceedings of the 7th International Workshop on Java Technologies for Real-Time and Embedded Systems, 2009

Thread-Local Scope Caching for Real-time Java.
Proceedings of the 2009 IEEE International Symposium on Object/Component/Service-Oriented Real-Time Distributed Computing, 2009

Embedded JIT Compilation with CACAO on YARI.
Proceedings of the 2009 IEEE International Symposium on Object/Component/Service-Oriented Real-Time Distributed Computing, 2009

A disruptive computer design idea: Architectures with repeatable timing.
Proceedings of the 27th International Conference on Computer Design, 2009

Educational case studies with an open source embedded real-time Java processor.
Proceedings of the 2009 Workshop on Embedded Systems Education, 2009

2008
A Java processor architecture for embedded real-time systems.
J. Syst. Archit., 2008

On Composable System Timing, Task Timing, and WCET Analysis.
Proceedings of the 8th Intl. Workshop on Worst-Case Execution Time (WCET) Analysis, 2008

Performance evaluation of a java chip-multiprocessor.
Proceedings of the IEEE Third International Symposium on Industrial Embedded Systems, 2008

A Modular Worst-case Execution Time Analysis Tool for Java Processors.
Proceedings of the 14th IEEE Real-Time and Embedded Technology and Applications Symposium, 2008

Cross-Profiling for Embedded Java Processors.
Proceedings of the Fifth International Conference on the Quantitative Evaluaiton of Systems (QEST 2008), 2008

Non-blocking object copy for real-time garbage collection.
Proceedings of the 6th International Workshop on Java Technologies for Real-time and Embedded Systems, 2008

Non-blocking root scanning for real-time garbage collection.
Proceedings of the 6th International Workshop on Java Technologies for Real-time and Embedded Systems, 2008

Hardware Objects for Java.
Proceedings of the 11th IEEE International Symposium on Object-Oriented Real-Time Distributed Computing (ISORC 2008), 2008

Interrupt Handlers in Java.
Proceedings of the 11th IEEE International Symposium on Object-Oriented Real-Time Distributed Computing (ISORC 2008), 2008

Toward Libraries for Real-Time Java.
Proceedings of the 11th IEEE International Symposium on Object-Oriented Real-Time Distributed Computing (ISORC 2008), 2008

Cache-aware cross-profiling for java processors.
Proceedings of the 2008 International Conference on Compilers, 2008

2007
Mission Modes for Safety Critical Java.
Proceedings of the Software Technologies for Embedded and Ubiquitous Systems, 2007

Garbage collection for safety critical Java.
Proceedings of the 5th International Workshop on Java Technologies for Real-time and Embedded Systems, 2007

Architecture for object-oriented programming languages.
Proceedings of the 5th International Workshop on Java Technologies for Real-time and Embedded Systems, 2007

picoJava-II in an FPGA.
Proceedings of the 5th International Workshop on Java Technologies for Real-time and Embedded Systems, 2007

Towards a Java multiprocessor.
Proceedings of the 5th International Workshop on Java Technologies for Real-time and Embedded Systems, 2007

A Profile for Safety Critical Java.
Proceedings of the Tenth IEEE International Symposium on Object-Oriented Real-Time Distributed Computing (ISORC 2007), 2007

A Time-Triggered Network-on-Chip.
Proceedings of the FPL 2007, 2007

Time Predictable CPU and DMA Shared Memory Access.
Proceedings of the FPL 2007, 2007

Modeling the Function Cache for Worst-Case Execution Time Analysis.
Proceedings of the 44th Design Automation Conference, 2007

2006
An Embedded Support Vector Machine.
Proceedings of the 4th International Workshop on Intelligent Solutions in Embedded Systems, 2006

WCET analysis for a Java processor.
Proceedings of the 4th international workshop on Java technologies for real-time and embedded systems, 2006

Exact roots for a real-time garbage collector.
Proceedings of the 4th international workshop on Java technologies for real-time and embedded systems, 2006

Real-Time Garbage Collection for Java.
Proceedings of the Ninth IEEE International Symposium on Object-Oriented Real-Time Distributed Computing (ISORC 2006), 2006

A time predictable Java processor.
Proceedings of the Conference on Design, Automation and Test in Europe, 2006

2005
Automatic generation of application-specific systems based on a micro-programmed Java core.
Proceedings of the 2005 ACM Symposium on Applied Computing (SAC), 2005

Design and Implementation of an Efficient Stack Machine.
Proceedings of the 19th International Parallel and Distributed Processing Symposium (IPDPS 2005), 2005

2004
A Time Predictable Instruction Cache for a Java Processor.
Proceedings of the On the Move to Meaningful Internet Systems 2004: OTM 2004 Workshops: OTM Confederated International Workshops and Posters, 2004

Restrictions of Java for Embedded Real-Time Systems.
Proceedings of the 7th IEEE International Symposium on Object-Oriented Real-Time Distributed Computing (ISORC 2004), 2004

Java Technology in an FPGA.
Proceedings of the Field Programmable Logic and Application, 2004

2003
Using a Java Optimized Processor in a Real World Application.
Proceedings of the First Workshop on Intelligent Solutions in Embedded Systems, 2003

JOP: A Java Optimized Processor.
Proceedings of the On The Move to Meaningful Internet Systems 2003: OTM 2003 Workshops, 2003


  Loading...