Shuhan Zhang

Orcid: 0000-0002-3959-8893

According to our database1, Shuhan Zhang authored at least 37 papers between 2012 and 2023.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2023
A Batched Bayesian Optimization Approach for Analog Circuit Synthesis via Multi-Fidelity Modeling.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., February, 2023

Playing coding games to learn computational thinking: What motivates students to use this tool at home?
Educ. Inf. Technol., January, 2023

2022
An Efficient Batch-Constrained Bayesian Optimization Approach for Analog Circuit Synthesis via Multiobjective Acquisition Ensemble.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

Adversarial Representation Learning for Hyperspectral Image Classification with Small-Sized Labeled Set.
Remote. Sens., 2022

Self-Supervised Learning With a Dual-Branch ResNet for Hyperspectral Image Classification.
IEEE Geosci. Remote. Sens. Lett., 2022

Cross-lagged Associations between Cognitive Performance and Attitudinal Beliefs of Computational Thinking: A Preliminary Study.
Proceedings of the IEEE International Conference on Teaching, 2022

Applying Artificial Intelligence into Early Childhood Math Education: Lesson Design and Course Effect.
Proceedings of the IEEE International Conference on Teaching, 2022

Examining Effects of Science Flipped Classrooms A Meta-analysis.
Proceedings of the IEEE International Conference on Teaching, 2022

LinEasyBO: Scalable Bayesian Optimization Approach for Analog Circuit Synthesis via One-Dimensional Subspaces.
Proceedings of the 2022 ACM/IEEE Workshop on Machine Learning for CAD, 2022

A Tale of EDA's Long Tail: Long-Tailed Distribution Learning for Electronic Design Automation.
Proceedings of the 2022 ACM/IEEE Workshop on Machine Learning for CAD, 2022

Exploring the Association Between Computational Thinking and Cognitive Abilities of Elementary Students: A Preliminary Study.
Proceedings of the Computer Science and Education - 17th International Conference, 2022

A timing engine inspired graph neural network model for pre-routing slack prediction.
Proceedings of the DAC '22: 59th ACM/IEEE Design Automation Conference, San Francisco, California, USA, July 10, 2022

ADEPT: automatic differentiable DEsign of photonic tensor cores.
Proceedings of the DAC '22: 59th ACM/IEEE Design Automation Conference, San Francisco, California, USA, July 10, 2022

2021
Medical image fusion algorithm based on L<sub>0</sub> gradient minimization for CT and MRI.
Multim. Tools Appl., 2021

Ultra Light OCR Competition Technical Report.
CoRR, 2021

An Efficient Batch Constrained Bayesian Optimization Approach for Analog Circuit Synthesis via Multi-objective Acquisition Ensemble.
CoRR, 2021

BigCarl: Mining frequent subnets from a single large Petri net.
CoRR, 2021

PSpan: Mining Frequent Subnets of Petri Nets.
CoRR, 2021

Real-time recognition system of soybean seed full-surface defects based on deep learning.
Comput. Electron. Agric., 2021

Computational Thinking Test for Lower Primary Students: Design Principles, Content Validation, and Pilot Testing.
Proceedings of the 2021 IEEE International Conference on Engineering, 2021

Bayesian Optimization Approach for Analog Circuit Design Using Multi-Task Gaussian Process.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2021

2020
Learning URL Embedding for Malicious Website Detection.
IEEE Trans. Ind. Informatics, 2020

Rhetorical structure theory: A comprehensive review of theory, parsing methods and applications.
Expert Syst. Appl., 2020

TinaFace: Strong but Simple Baseline for Face Detection.
CoRR, 2020

Differentially Private Machine Learning Model against Model Extraction Attack.
Proceedings of the 2020 International Conferences on Internet of Things (iThings) and IEEE Green Computing and Communications (GreenCom) and IEEE Cyber, 2020

A Mixed-Variable Bayesian Optimization Approach for Analog Circuit Synthesis.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2020

An Efficient Bayesian Optimization Approach for Analog Circuit Synthesis via Sparse Gaussian Process Modeling.
Proceedings of the 2020 Design, Automation & Test in Europe Conference & Exhibition, 2020

Reputation-based Q-Routing for Robust Inter-committee Routing in the Sharding-based Blockchain.
Proceedings of the IEEE Intl Conf on Dependable, 2020

An Efficient Asynchronous Batch Bayesian Optimization Approach for Analog Circuit Synthesis.
Proceedings of the 57th ACM/IEEE Design Automation Conference, 2020

Bayesian Methods for the Yield Optimization of Analog and SRAM Circuits.
Proceedings of the 25th Asia and South Pacific Design Automation Conference, 2020

2019
Bayesian Optimization Approach for Analog Circuit Synthesis Using Neural Network.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2019

An Efficient Multi-fidelity Bayesian Optimization Approach for Analog Circuit Synthesis.
Proceedings of the 56th Annual Design Automation Conference 2019, 2019

2018
Cpriori: An Index-Based Framework to Extract the Generalized Center Strings.
Proceedings of the Web Information Systems Engineering - WISE 2018, 2018

2017
Efficient spectral graph sparsification via Krylov-subspace based spectral perturbation analysis.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2017

Holographic Lexical Chain and Its Application in Chinese Text Summarization.
Proceedings of the Web and Big Data - First International Joint Conference, 2017

2013
Frequency-domain measurement of 60 GHz indoor channels: a measurement setup, literature data, and analysis.
IEEE Instrum. Meas. Mag., 2013

2012
Method to Determine the Ratio Error of DC High-Voltage Dividers.
IEEE Trans. Instrum. Meas., 2012


  Loading...