Mingjie Liu

Orcid: 0000-0002-3488-9763

According to our database1, Mingjie Liu authored at least 96 papers between 2010 and 2024.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2024
A Wearable Glove with Electrothermal-Controlled Ionogels for Adhesive Gripping.
Adv. Intell. Syst., February, 2024

A malicious network traffic detection model based on bidirectional temporal convolutional network with multi-head self-attention mechanism.
Comput. Secur., January, 2024

2023
Phase Characteristics and Angle Deception of Frequency-Diversity-Array-Transmitted Signals Based on Time Index within Pulse.
Remote. Sens., November, 2023

ELight: Toward Efficient and Aging-Resilient Photonic In-Memory Neurocomputing.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., March, 2023

SqueezeLight: A Multi-Operand Ring-Based Optical Neural Network With Cross-Layer Scalability.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., March, 2023

Interactive Analog Layout Editing With Instant Placement and Routing Legalization.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., March, 2023

Tutorial and Perspectives on MAGICAL: A Silicon-Proven Open-Source Analog IC Layout System.
IEEE Trans. Circuits Syst. II Express Briefs, February, 2023

Hierarchical Analog and Mixed-Signal Circuit Placement Considering System Signal Flow.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2023

Ambiguity Function Evaluation and Optimization of the Transmitting Beamspace-Based FDA Radar.
Signal Process., 2023

Joint optimization of FDA-MIMO antenna selection and frequency offset to maximize SINR.
Digit. Signal Process., 2023

RTLFixer: Automatically Fixing RTL Syntax Errors with Large Language Models.
CoRR, 2023

ChipNeMo: Domain-Adapted LLMs for Chip Design.
CoRR, 2023

Post-Layout Simulation Driven Analog Circuit Sizing.
CoRR, 2023

VerilogEval: Evaluating Large Language Models for Verilog Code Generation.
CoRR, 2023

A Small-Signal Modeling Method for Bidirectional CLLC Resonant Converter.
Proceedings of the 49th Annual Conference of the IEEE Industrial Electronics Society, 2023

Two-channel Image Dehazing Algorithm Based on the Improved Guided Filter for Intelligent Automobile.
Proceedings of the Fourteenth International Conference on Ubiquitous and Future Networks, 2023

Occupant Attributes Recognition for Thermal Comfort in Passenger Car Cabin.
Proceedings of the Fourteenth International Conference on Ubiquitous and Future Networks, 2023

An Adversarial Active Sampling-Based Data Augmentation Framework for AI-Assisted Lithography Modeling.
Proceedings of the IEEE/ACM International Conference on Computer Aided Design, 2023

Invited Paper: VerilogEval: Evaluating Large Language Models for Verilog Code Generation.
Proceedings of the IEEE/ACM International Conference on Computer Aided Design, 2023

MTL-Designer: An Integrated Flow for Analysis and Synthesis of Microstrip Transmission Line.
Proceedings of the 60th ACM/IEEE Design Automation Conference, 2023

Delving into Effective Gradient Matching for Dataset Condensation.
Proceedings of the IEEE International Conference on Omni-layer Intelligent Systems, 2023

A 9.7fJ/Conv.-Step Capacitive Sensor Readout Circuit with Incremental Zoomed Time Domain Quantization.
Proceedings of the IEEE Custom Integrated Circuits Conference, 2023

Development of a Safety Helmet Wear Detection System for Photovoltaic Power Plants using Enhanced YOLOv5 Algorithm.
Proceedings of the CAA Symposium on Fault Detection, 2023

2022
Multi-Conditional Constraint Generative Adversarial Network-Based MR Imaging from CT Scan Data.
Sensors, 2022

Full Coverage Path Planning Methods of Harvesting Robot with Multi-Objective Constraints.
J. Intell. Robotic Syst., 2022

The sliding mode controller with improved reaching law for harvesting robots.
J. Intell. Robotic Syst., 2022

Implementation of Image Transmission Based on Vehicle-to-Vehicle Communication.
J. Inf. Process. Syst., 2022

An Adversarial Active Sampling-based Data Augmentation Framework for Manufacturable Chip Design.
CoRR, 2022

FDA-MIMO Radar Robust Beamforming Based on Matrix Weighting Method.
IEEE Access, 2022

RobustAnalog: Fast Variation-Aware Analog Circuit Design Via Multi-task RL.
Proceedings of the 2022 ACM/IEEE Workshop on Machine Learning for CAD, 2022

A Tale of EDA's Long Tail: Long-Tailed Distribution Learning for Electronic Design Automation.
Proceedings of the 2022 ACM/IEEE Workshop on Machine Learning for CAD, 2022

Why are Graph Neural Networks Effective for EDA Problems?: (Invited Paper).
Proceedings of the 41st IEEE/ACM International Conference on Computer-Aided Design, 2022

A timing engine inspired graph neural network model for pre-routing slack prediction.
Proceedings of the DAC '22: 59th ACM/IEEE Design Automation Conference, San Francisco, California, USA, July 10, 2022

ADEPT: automatic differentiable DEsign of photonic tensor cores.
Proceedings of the DAC '22: 59th ACM/IEEE Design Automation Conference, San Francisco, California, USA, July 10, 2022

"So Close, yet So Far": Exploring Sexual-minority Women's Relationship-building via Online Dating in China.
Proceedings of the CHI '22: CHI Conference on Human Factors in Computing Systems, New Orleans, LA, USA, 29 April 2022, 2022

ELight: Enabling Efficient Photonic In-Memory Neurocomputing with Life Enhancement.
Proceedings of the 27th Asia and South Pacific Design Automation Conference, 2022

Generative-Adversarial-Network-Guided Well-Aware Placement for Analog Circuits.
Proceedings of the 27th Asia and South Pacific Design Automation Conference, 2022

Automating Analog Constraint Extraction: From Heuristics to Learning: (Invited Paper).
Proceedings of the 27th Asia and South Pacific Design Automation Conference, 2022

2021
Toward Hardware-Efficient Optical Neural Networks: Beyond FFT Architecture via Joint Learnability.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2021

Multi-Sensor Information Ensemble-Based Automatic Parking System for Vehicle Parallel/Nonparallel Initial State.
Sensors, 2021

A Flexible Pressure Sensor Based on Magnetron Sputtered MoS2.
Sensors, 2021

A Fast and Robust Lane Detection Method Based on Semantic Segmentation and Optical Flow Estimation.
Sensors, 2021

Long-Term Changes in the Unique and Largest Seagrass Meadows in the Bohai Sea (China) Using Satellite (1974-2019) and Sonar Data: Implication for Conservation and Restoration.
Remote. Sens., 2021

MAGICAL: An Open- Source Fully Automated Analog IC Layout System from Netlist to GDSII.
IEEE Des. Test, 2021

Optimizer Fusion: Efficient Training with Better Locality and Parallelism.
CoRR, 2021

Towards Memory-Efficient Neural Networks via Multi-Level in situ Generation.
Proceedings of the 2021 IEEE/CVF International Conference on Computer Vision, 2021

OpenSAR: An Open Source Automated End-to-end SAR ADC Compiler.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2021

Parasitic-Aware Analog Circuit Sizing with Graph Neural Networks and Bayesian Optimization.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2021

SqueezeLight: Towards Scalable Optical Neural Networks with Multi-Operand Ring Resonators.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2021

Interactive Analog Layout Editing with Instant Placement Legalization.
Proceedings of the 58th ACM/IEEE Design Automation Conference, 2021

Universal Symmetry Constraint Extraction for Analog and Mixed-Signal Circuits with Graph Neural Networks.
Proceedings of the 58th ACM/IEEE Design Automation Conference, 2021

Improved Lane Detection Network Based on Instance Segmentation.
Proceedings of the CONF-CDS 2021: The 2nd International Conference on Computing and Data Science, 2021

MAGICAL 1.0: An Open-Source Fully-Automated AMS Layout Synthesis Framework Verified With a 40-nm 1GS/s Δ∑ ADC.
Proceedings of the IEEE Custom Integrated Circuits Conference, 2021

Layout Symmetry Annotation for Analog Circuits with Graph Neural Networks.
Proceedings of the ASPDAC '21: 26th Asia and South Pacific Design Automation Conference, 2021

2020
Design and Development of a Fully Printed Accelerometer with a Carbon Paste-Based Strain Gauge.
Sensors, 2020

UAV-YOLO: Small Object Detection on Unmanned Aerial Vehicle Perspective.
Sensors, 2020

Path Tracking Control for Autonomous Harvesting Robots Based on Improved Double Arc Path Planning Algorithm.
J. Intell. Robotic Syst., 2020

An autonomous driving controller with heading adaptive calibration for agricultural robots.
Ind. Robot, 2020

Infinitesimal Method Based Calculation of Metro Stray Current in Multiple Power Supply Sections.
IEEE Access, 2020

Exploring Logic Optimizations with Reinforcement Learning and Graph Convolutional Network.
Proceedings of the MLCAD '20: 2020 ACM/IEEE Workshop on Machine Learning for CAD, 2020

Deep Learning-Based Segmentation of Key Objects of Transmission Lines.
Proceedings of the Entertainment Computing - ICEC 2020, 2020

Toward Silicon-Proven Detailed Routing for Analog and Mixed-Signal Circuits.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2020

Effective Analog/Mixed-Signal Circuit Placement Considering System Signal Flow.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2020

An Efficient Training Framework for Reversible Neural Architectures.
Proceedings of the Computer Vision - ECCV 2020, 2020

Towards Decrypting the Art of Analog Layout: Placement Quality Prediction via Transfer Learning.
Proceedings of the 2020 Design, Automation & Test in Europe Conference & Exhibition, 2020

Closing the Design Loop: Bayesian Optimization Assisted Hierarchical Analog Layout Synthesis.
Proceedings of the 57th ACM/IEEE Design Automation Conference, 2020

S<sup>3</sup>DET: Detecting System Symmetry Constraints for Analog Circuits with Graph Similarity.
Proceedings of the 25th Asia and South Pacific Design Automation Conference, 2020

Towards Area-Efficient Optical Neural Networks: An FFT-based Architecture.
Proceedings of the 25th Asia and South Pacific Design Automation Conference, 2020

2019
Deep CT to MR Synthesis Using Paired and Unpaired Data.
Sensors, 2019

Online multiple object tracking using confidence score-based appearance model learning and hierarchical data association.
IET Comput. Vis., 2019

Integrated Detection and Tracking for ADAS Using Deep Neural Network.
Proceedings of the 2nd IEEE Conference on Multimedia Information Processing and Retrieval, 2019

Micro Pressure Sensors Based on Ultra-thin Amorphous Carbon Film as both Sensitive and Structural Components.
Proceedings of the 2019 IEEE SENSORS, Montreal, QC, Canada, October 27-30, 2019, 2019

GeniusRoute: A New Analog Routing Paradigm Using Generative Neural Network Guidance.
Proceedings of the International Conference on Computer-Aided Design, 2019

MAGICAL: Toward Fully Automated Analog IC Layout Leveraging Human and Machine Intelligence: Invited Paper.
Proceedings of the International Conference on Computer-Aided Design, 2019

2018
Occlusion-robust object tracking based on the confidence of online selected hierarchical features.
IET Image Process., 2018

Local similarity refinement of shape-preserved warping for parallax-tolerant image stitching.
IET Image Process., 2018

Scale-Invarinat Kernelized Correlation Filter using Convolutional Feature for Object Tracking.
Proceedings of the 4th International Conference on Vehicle Technology and Intelligent Transport Systems, 2018

Flexible Force Sensor with Micro-Pyramid Arrays Based on 3D Printing.
Proceedings of the 2018 IEEE SENSORS, New Delhi, India, October 28-31, 2018, 2018

3D Printed Force Sensor with Inkjet Printed Piezoresistive Based Strain Gauge.
Proceedings of the 2018 IEEE SENSORS, New Delhi, India, October 28-31, 2018, 2018

2015
Improved Information Set Decoding for Code-Based Cryptosystems with Constrained Memory.
Proceedings of the Frontiers in Algorithmics - 9th International Workshop, 2015

Finding Shortest Lattice Vectors in the Presence of Gaps.
Proceedings of the Topics in Cryptology, 2015

Mind Your Nonces Moving: Template-Based Partially-Sharing Nonces Attack on SM2 Digital Signature Algorithm.
Proceedings of the 10th ACM Symposium on Information, 2015

2014
Improved Linear Attacks on the Chinese Block Cipher Standard.
J. Comput. Sci. Technol., 2014

A note on BDD problems with λ<sub>2</sub>-gap.
Inf. Process. Lett., 2014

2013
Solving BDD by Enumeration: An Update.
Proceedings of the Topics in Cryptology - CT-RSA 2013, 2013

Partially Known Nonces and Fault Injection Attacks on SM2 Signature Algorithm.
Proceedings of the Information Security and Cryptology - 9th International Conference, 2013

2012
On the Multiple Fault Attack on RSA Signatures with LSBs of Messages Unknown.
IACR Cryptol. ePrint Arch., 2012

Cryptanalysis of a homomorphic encryption scheme from ISIT 2008.
Proceedings of the 2012 IEEE International Symposium on Information Theory, 2012

On the Multiple Fault Attacks on RSA Signatures with LSBs of Messages Unknown.
Proceedings of the Information Security and Cryptology - 8th International Conference, 2012

2011
SELM: Semi-supervised ELM with application in sparse calibrated location estimation.
Neurocomputing, 2011

Shortest Lattice Vectors in the Presence of Gaps.
IACR Cryptol. ePrint Arch., 2011

An Efficient Broadcast Attack against NTRU.
IACR Cryptol. ePrint Arch., 2011

Cross-People Mobile-Phone Based Activity Recognition.
Proceedings of the IJCAI 2011, 2011

Multi-Kernel Multi-Label Learning with Max-Margin Concept Network.
Proceedings of the IJCAI 2011, 2011

Slideshow: Gesture-aware PPT presentation.
Proceedings of the 2011 IEEE International Conference on Multimedia and Expo, 2011

2010
Improved Nguyen-Vidick Heuristic Sieve Algorithm for Shortest Vector Problem.
IACR Cryptol. ePrint Arch., 2010


  Loading...