Takashi Ohsawa

Orcid: 0000-0002-8409-1792

According to our database1, Takashi Ohsawa authored at least 14 papers between 2002 and 2023.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2023
A Fully Analog Deep Neural Network Inference Accelerator with Pipeline Registers Based on Master-Slave Switched Capacitors.
IEICE Trans. Electron., September, 2023

2022
A Low-Cost Training Method of ReRAM Inference Accelerator Chips for Binarized Neural Networks to Recover Accuracy Degradation due to Statistical Variabilities.
IEICE Trans. Electron., August, 2022

2021
Compact Model of Magnetic Tunnel Junctions for SPICE Simulation Based on Switching Probability.
IEICE Trans. Electron., 2021

2020
Array Design of High-Density Emerging Memories Making Clamped Bit-Line Sense Amplifier Compatible with Dummy Cell Average Read Scheme.
IEICE Trans. Electron., 2020

Co-Design of Binary Processing in Memory ReRAM Array and DNN Model Optimization Algorithm.
IEICE Trans. Electron., 2020

2019
User- Friendly Compact Model of Magnetic Tunnel Junctions for Circuit Simulation Based on Switching Probability.
Proceedings of the International Symposium on VLSI Design, Automation and Test, 2019

2018
A New Read Scheme for High-Density Emerging Memories.
IEICE Trans. Electron., 2018

2012
Low Power Nonvolatile Counter Unit with Fine-Grained Power Gating.
IEICE Trans. Electron., 2012

1Mb 4T-2MTJ nonvolatile STT-RAM for embedded memories using 32b fine-grained power gating technique with 1.0ns/200ps wake-up/power-off times.
Proceedings of the Symposium on VLSI Circuits, 2012

High-speed simulator including accurate MTJ models for spintronics integrated circuit design.
Proceedings of the 2012 IEEE International Symposium on Circuits and Systems, 2012

2011
Generation of Accurate Reference Current for Data Sensing in High-Density Memories by Averaging Multiple Pairs of Dummy Cells.
IEEE J. Solid State Circuits, 2011

2006
Design of a 128-mb SOI DRAM using the floating body cell (FBC).
IEEE J. Solid State Circuits, 2006

2005
A 333MHz random cycle DRAM using the floating body cell.
Proceedings of the IEEE 2005 Custom Integrated Circuits Conference, 2005

2002
Memory design using a one-transistor gain cell on SOI.
IEEE J. Solid State Circuits, 2002


  Loading...