Xin'an Wang

Orcid: 0000-0002-1306-5685

According to our database1, Xin'an Wang authored at least 71 papers between 2008 and 2023.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2023
A configurable hardware-efficient ECG classification inference engine based on CNN for mobile healthcare applications.
Microelectron. J., November, 2023

A 28nm 32Kb SRAM Computing-in-Memory Macro With Hierarchical Capacity Attenuator and Input Sparsity-Optimized ADC for 4b Mac Operation.
IEEE Trans. Circuits Syst. II Express Briefs, June, 2023

2022
A 128 Kb DAC-less 6T SRAM computing-in-memory macro with prioritized subranging ADC for AI edge applications.
Microelectron. J., 2022

A Computing-in-Memory SRAM Macro Based on Fully-Capacitive-Coupling With Hierarchical Capacity Attenuator for 4-b MAC Operation.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2022

A Reconfigurable SRAM Computing-in-Memory Macro Supporting Ping-Pong Operation and CIM pipeline for Multi-mode MAC operations.
Proceedings of the 2022 IEEE International Conference on Integrated Circuits, 2022

Self-adaptive Multi-scale Aggregation Network for Stereo Matching.
Proceedings of the 26th International Conference on Pattern Recognition, 2022

MLP-Stereo: Heterogeneous Feature Fusion in MLP for Stereo Matching.
Proceedings of the 2022 IEEE International Conference on Image Processing, 2022

OMNET: Real-Time Stereo Matching with Unsupervised Occlusion Mask.
Proceedings of the 2022 IEEE International Conference on Image Processing, 2022

2021
An FPGA-Based Convolutional Neural Network Coprocessor.
Wirel. Commun. Mob. Comput., 2021

A Deep Learning-Based Electromagnetic Signal for Earthquake Magnitude Prediction.
Sensors, 2021

Statistical Analysis of the Relationship between AETA Electromagnetic Anomalies and Local Earthquakes.
Entropy, 2021

A high precision, high linearity 10 bit Digital-to-Time Converter circuit.
Proceedings of the RobCE 2021: 2021 International Conference on Robotics and Control Engineering, 2021

A High Linearity Process Angle Interference Resistant Multi-phase Output Digital Control Oscillator with Negative Feedback.
Proceedings of the RobCE 2021: 2021 International Conference on Robotics and Control Engineering, 2021

Design and Implementation of a Temperature Self-Compensation Balanced Hybrid Ring Oscillator BHRO.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2021

An SNN-Based and Neuromorphic-Hardware-Implementable Noise Filter with Self-adaptive Time Window for Event-Based Vision Sensor.
Proceedings of the International Joint Conference on Neural Networks, 2021

An AETA Geoacoustic Signal Anomaly Detection Method Based on FindCBLOF.
Proceedings of the ICISS 2021: The 4th International Conference on Information Science and Systems, 2021

2020
A Novel Conversion Method for Spiking Neural Network using Median Quantization.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2020

The Research on Feature Extraction Method of ECG Signal Based on KPCA Dimension Reduction.
Proceedings of the ICMLC 2020: 2020 12th International Conference on Machine Learning and Computing, 2020

Unvoiced Speech Recognition Algorithm Based on Myoelectric Signal.
Proceedings of the ICMLC 2020: 2020 12th International Conference on Machine Learning and Computing, 2020

On Possible Electromagnetic Precursors to a Significant Earthquake (Mw = 7.0) Occurred in JiuZhaiGou (China) on 8 August 2017.
Proceedings of the ICISS 2020: The 3rd International Conference on Information Science and System, 2020

2019
Research on EMG segmentation algorithm and walking analysis based on signal envelope and integral electrical signal.
Photonic Netw. Commun., 2019

Research on feature extraction algorithm for plantar pressure image and gait analysis in stroke patients.
J. Vis. Commun. Image Represent., 2019

Research on rehabilitation training bed with action prediction based on NARX neural network.
Int. J. Imaging Syst. Technol., 2019

A Study of Gridding Scatter Plot for Heart Rate Variability in the Sleep Onset Latency.
Proceedings of the 4th International Conference on Mathematics and Artificial Intelligence, 2019

The Research on Fractal Dimension of Diabetic Patients Based on ECG Signal.
Proceedings of the 4th International Conference on Mathematics and Artificial Intelligence, 2019

An AETA Geo-sound Anomaly Detection Method Based on Baer Operator.
Proceedings of the 4th International Conference on Mathematics and Artificial Intelligence, 2019

A Feature Extraction Method for Daily-periodic Time Series Based on AETA Electromagnetic Disturbance Data.
Proceedings of the 4th International Conference on Mathematics and Artificial Intelligence, 2019

The Data Mining of Strong Earthquakes' Occurring Time based on the Traditional Chinese Calendar.
Proceedings of the 4th International Conference on Mathematics and Artificial Intelligence, 2019

Deep Spiking Convolutional Neural Networks for Programmable Neuro-synaptic System.
Proceedings of the 13th IEEE International Conference on ASIC, 2019

2018
A 3D foot shape feature parameter measurement algorithm based on Kinect2.
EURASIP J. Image Video Process., 2018

2017
A Robust and Efficient Approach to License Plate Detection.
IEEE Trans. Image Process., 2017

An Improved Perceptual MBSS Noise Reduction with an SNR-Based VAD for a Fully Operational Digital Hearing Aid.
IEICE Trans. Inf. Syst., 2017

A Novel 3D Gradient LBP Descriptor for Action Recognition.
IEICE Trans. Inf. Syst., 2017

A Comprehensive Method to Improve Loudness Compensation and High-Frequency Speech Intelligibility for Digital Hearing Aids.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2017

Testing of 1T<i>n</i>R RRAM array with sneak path technique.
Sci. China Inf. Sci., 2017

COSY: An Energy-Efficient Hardware Architecture for Deep Convolutional Neural Networks Based on Systolic Array.
Proceedings of the 23rd IEEE International Conference on Parallel and Distributed Systems, 2017

A low bit-width parameter representation method for hardware-oriented convolution neural networks.
Proceedings of the 12th IEEE International Conference on ASIC, 2017

2016
A PVT-independent Schmitt trigger with fully adjustable hysteresis threshold voltages for low-power 1-bit digitization applications.
IEICE Electron. Express, 2016

2015
An automatic software/hardware verification platform prototype for reconfigurable audio algorithm in media SoC.
Proceedings of the 2015 IEEE 11th International Conference on ASIC, 2015

A high-performance charge pump with improved static and dynamic matching characteristic.
Proceedings of the 2015 IEEE 11th International Conference on ASIC, 2015

Ultra low power circuits design based on III-V group heterojunction tunnel field effect transistor.
Proceedings of the 2015 IEEE 11th International Conference on ASIC, 2015

Development of TFET 0.13 μm standard cell library for ultra-low power applications.
Proceedings of the 2015 IEEE 11th International Conference on ASIC, 2015

Design and implementation of a body monitoring baseband system for human body communication.
Proceedings of the 2015 IEEE 11th International Conference on ASIC, 2015

2014
A novel low-noise high-linearity CMOS transmitter for mobile UHF RFID reader.
Sci. China Inf. Sci., 2014

Design and implementation of a flexible DMA controller in video codec system.
Proceedings of the 19th International Conference on Digital Signal Processing, 2014

Analysis of a compact BCC transceiver based on PLL FSK modulator/demodulator.
Proceedings of the 2014 IEEE Asia Pacific Conference on Circuits and Systems, 2014

2013
Panorama parking assistant system with improved particle swarm optimization method.
J. Electronic Imaging, 2013

A Novel Decomposition Approach and VLSI Implementation of Chroma interpolator for H.264 encoders.
J. Circuits Syst. Comput., 2013

A novel intelligent verification platform based on a structured analysis model.
Sci. China Inf. Sci., 2013

Day and night vehicle detection and counting in complex environment.
Proceedings of the 28th International Conference on Image and Vision Computing New Zealand, 2013

Fully integrated passive UHF RFID transponder IC with a sensitivity of -12 dBm.
Proceedings of the 2013 IEEE International Symposium on Circuits and Systems (ISCAS2013), 2013

A super-regenerative pulsed UWB receiver combined with injection-locking.
Proceedings of the 2013 IEEE International Symposium on Circuits and Systems (ISCAS2013), 2013

A 800nW high-accuracy RC oscillator with resistor calibration for RFID.
Proceedings of the IEEE 10th International Conference on ASIC, 2013

An integrated development environment for reconfigurable operators array.
Proceedings of the IEEE 10th International Conference on ASIC, 2013

A universal framework of dual-use model for both performance and functionality based on the abstract state machine.
Proceedings of the IEEE 10th International Conference on ASIC, 2013

A low-power and high-efficiency cache design for embedded bus-based symmetric multiprocessors.
Proceedings of the IEEE 10th International Conference on ASIC, 2013

A 2.4 mW, 11.7±0.4dB, 3 to 5 GHz wide-band LNA for super-regenerative IR-UWB receiver.
Proceedings of the IEEE 10th International Conference on ASIC, 2013

A three-stage LDO with active feedback frequency compensation and slew-rate enhancement.
Proceedings of the IEEE 10th International Conference on ASIC, 2013

Design of an optimized low-latency interrupt controller for IMS-DPU.
Proceedings of the IEEE 10th International Conference on ASIC, 2013

Implementation of an embedded dual-core processor for portable medical electronics applications.
Proceedings of the IEEE 10th International Conference on ASIC, 2013

2012
A novel compact low-power direct conversion receiver for mobile UHF RFID reader.
Sci. China Inf. Sci., 2012

Theory and verification of operator design methodology.
Sci. China Inf. Sci., 2012

An on-board pedestrian detection and warning system with features of side pedestrian.
Proceedings of the Visual Information Processing and Communication III, 2012

Syntactic Representation Transformation in Operator Design Method Based on ANTLR Tool.
Proceedings of the 12th IEEE International Conference on Computer and Information Technology, 2012

System-Level Power Estimation with On-Chip Bus Performance Monitoring Units in PKU-DSPII SoC.
Proceedings of the 12th IEEE International Conference on Computer and Information Technology, 2012

2011
An ultra low power ASK demodulator for passive UHF RFID tag.
Proceedings of the 2011 IEEE 9th International Conference on ASIC, 2011

Scheduling to timing optimization for a novel high-level synthesis approach.
Proceedings of the 2011 IEEE 9th International Conference on ASIC, 2011

2010
Deteriorated radiation effects impact on the characteristics of MOS transistors with multi-finger configuration.
Microelectron. Reliab., 2010

2009
A Fully Data-Driven Reconfigurable Architecture with Very Coarse-Grained Execution Units.
Proceedings of the Scalable Information Systems, 4th International ICST Conference, 2009

2008
Arbitrary Waveform Generator Based on Direct Digital Frequency Synthesizer.
Proceedings of the 4th IEEE International Symposium on Electronic Design, 2008

A Novel Tone Reservation Scheme with Fast Convergence for PAPR Reduction in OFDM Systems.
Proceedings of the 5th IEEE Consumer Communications and Networking Conference, 2008


  Loading...