Xin Lou

Orcid: 0000-0001-8910-5666

According to our database1, Xin Lou authored at least 102 papers between 2012 and 2024.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2024
Multifunctional Optical Tomography System With High-Fidelity Surface Extraction Based on a Single Programmable Scanner and Unified Pinhole Modeling.
IEEE Trans. Biomed. Eng., April, 2024

FPGA Accelerator for Human Activity Recognition Based on Radar.
IEEE Trans. Circuits Syst. II Express Briefs, March, 2024

Modeling and Control of Single-Stage 48 V Sigma Voltage Regulator.
IEEE Trans. Ind. Electron., 2024

Single-Stage 48 V/1.8 V Converter With a Novel Integrated Magnetics and 1000 W/in<sup>3</sup> Power Density.
IEEE Trans. Ind. Electron., 2024

2023
Analysis and Design of Precision-Scalable Computation Array for Efficient Neural Radiance Field Rendering.
IEEE Trans. Circuits Syst. I Regul. Pap., November, 2023

Low-Power Reconfigurable FIR Filter Design Based on Common Operation Sharing.
IEEE Trans. Circuits Syst. II Express Briefs, August, 2023

An Energy-Efficient Accelerator for Medical Image Reconstruction From Implicit Neural Representation.
IEEE Trans. Circuits Syst. I Regul. Pap., April, 2023

Multi-modal graph reasoning for structured video text extraction.
Comput. Electr. Eng., April, 2023

Context-Preserving Region-Based Contrastive Learning Framework for Ship Detection in SAR.
J. Signal Process. Syst., January, 2023

Relay learning: a physically secure framework for clinical multi-site deep learning.
npj Digit. Medicine, 2023

A Numerical-based Parametric Error Analysis Method for Goldschmidt Floating Point Division.
CoRR, 2023

Error Analysis for Fused Floating-point Square-root and Division based on Goldschmidt Algorithm.
Proceedings of the 21st IEEE Interregional NEWCAS Conference, 2023

An Efficient Frequency Domain Vision Pipeline From RAW Images to Backend Tasks.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2023

Effects of Learning-Based Action-Space Attacks on Autonomous Driving Agents.
Proceedings of the ACM/IEEE 14th International Conference on Cyber-Physical Systems, 2023

Susceptibility of Autonomous Driving Agents to Learning-Based Action-Space Attacks.
Proceedings of the 53rd Annual IEEE/IFIP International Conference on Dependable Systems and Networks, 2023

Photorealistic Aquatic Plants Rendering with Cellular Structure.
Proceedings of the Advances in Computer Graphics, 2023

A Systolic Array with Activation Stationary Dataflow for Deep Fully-Connected Networks.
Proceedings of the 5th IEEE International Conference on Artificial Intelligence Circuits and Systems, 2023

Image Frequency Separation Residual Network for End-to-end RAW to RGB Mapping.
Proceedings of the 5th IEEE International Conference on Artificial Intelligence Circuits and Systems, 2023

2022
Design of FRM-Based Nonuniform Filter Bank With Reduced Effective Wordlength for Hearing Aids.
IEEE Trans. Biomed. Circuits Syst., December, 2022

ICARUS: A Specialized Architecture for Neural Radiance Fields Rendering.
ACM Trans. Graph., 2022

A Raw Image-Based End-to-End Object Detection Accelerator Using HOG Features.
IEEE Trans. Circuits Syst. I Regul. Pap., 2022

A Block PatchMatch-Based Energy-Resource Efficient Stereo Matching Processor on FPGA.
IEEE Trans. Circuits Syst. I Regul. Pap., 2022

FPGA Accelerator for Real-Time Non-Line-of-Sight Imaging.
IEEE Trans. Circuits Syst. I Regul. Pap., 2022

Reconfigurable Nonuniform Filter Bank for Hearing Aid Systems.
IEEE ACM Trans. Audio Speech Lang. Process., 2022

Multi-Level Time-Frequency Bins Selection for Direction of Arrival Estimation Using a Single Acoustic Vector Sensor.
IEEE ACM Trans. Audio Speech Lang. Process., 2022

Ring and Radius Sampling Based Phasor Field Diffraction Algorithm for Non-Line-of-Sight Reconstruction.
IEEE Trans. Pattern Anal. Mach. Intell., 2022

Radar-Based Human Activity Recognition With 1-D Dense Attention Network.
IEEE Geosci. Remote. Sens. Lett., 2022

ICARUS: A Lightweight Neural Plenoptic Rendering Architecture.
CoRR, 2022

Generative knowledge transfer for ship detection in SAR images.
Comput. Electr. Eng., 2022

An RRAM-based Neural Radiance Field Processor.
Proceedings of the 35th IEEE International System-on-Chip Conference, 2022

Cache-locality Based Adaptive Warp Scheduling for Neural Network Acceleration on GPGPUs.
Proceedings of the 35th IEEE International System-on-Chip Conference, 2022

Detecting Cyber Attacks in Smart Grids with Massive Unlabeled Sensing Data.
Proceedings of the IEEE International Conference on Communications, 2022

Exploring the high-throughput and low-delay hardware design of SM4 on FPGA.
Proceedings of the 19th International SoC Design Conference, 2022

An End-to-end Computer Vision System Architecture.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2022

A Slide-Save Based Framework for Multi-Source DOA Extraction with Closely Spaced Sources.
Proceedings of the IEEE International Conference on Acoustics, 2022

Adversarial Attacks and Mitigations on Scene Segmentation of Autonomous Vehicles.
Proceedings of the Computer Security. ESORICS 2022 International Workshops, 2022


A 39pJ/label 1920x1080 165.7 FPS Block PatchMatch Based Stereo Matching Processor on FPGA.
Proceedings of the IEEE Custom Integrated Circuits Conference, 2022

SME: A Systolic Multiply-accumulate Engine for MLP-based Neural Network.
Proceedings of the IEEE Asia Pacific Conference on Circuit and Systems, 2022

An Energy Efficient Precision Scalable Computation Array for Neural Radiance Field Accelerator.
Proceedings of the IEEE Asia Pacific Conference on Circuit and Systems, 2022

FPGA Accelerator for Radar-Based Human Activity Recognition.
Proceedings of the 4th IEEE International Conference on Artificial Intelligence Circuits and Systems, 2022

2021
Learning-Based Simultaneous Detection and Characterization of Time Delay Attack in Cyber-Physical Systems.
IEEE Trans. Smart Grid, 2021

Gradient-Based Feature Extraction From Raw Bayer Pattern Images.
IEEE Trans. Image Process., 2021

On Lightweight Privacy-preserving Collaborative Learning for Internet of Things by Independent Random Projections.
ACM Trans. Internet Things, 2021

Compressing Large-Scale Transformer-Based Models: A Case Study on BERT.
Trans. Assoc. Comput. Linguistics, 2021

Convergent structural network and gene signatures for MRgFUS thalamotomy in patients with Parkinson's disease.
NeuroImage, 2021

Lightweight Deep Learning Model in Mobile-Edge Computing for Radar-Based Human Activity Recognition.
IEEE Internet Things J., 2021

A Low-Complexity End-to-End Stereo Matching Pipeline From Raw Bayer Pattern Images to Disparity Maps.
IEEE Access, 2021

A Stealthier False Data Injection Attack against the Power Grid.
Proceedings of the IEEE International Conference on Communications, 2021

Reliability-Security Trade-Off for Distributed Reactive Power Control in Transactive Grid.
Proceedings of the IEEE International Conference on Communications, 2021

Fully Convolutional Network-Based DOA Estimation with Acoustic Vector Sensor.
Proceedings of the IEEE Workshop on Signal Processing Systems, 2021

Object Detection in SAR Via Generative Knowledge Transfer.
Proceedings of the 2021 IEEE 31st International Workshop on Machine Learning for Signal Processing (MLSP), 2021

Spatial Non-Maximum Suppression for Object Detection using Correlation and Dynamic Thresholds.
Proceedings of the 18th International SoC Design Conference, 2021

Multi-Scale Slanted O(1) Stereo Matching Algorithm.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2021

Reliable Intensity Vector Selection for Multi-Source Direction-of-Arrival Estimation Using a Single Acoustic Vector Sensor.
Proceedings of the Interspeech 2021, 22nd Annual Conference of the International Speech Communication Association, Brno, Czechia, 30 August, 2021

Stereo Point Cloud Refinement for 3D Object Detection.
Proceedings of the IEEE Asia Pacific Conference on Circuit and Systems, 2021

Robust Multi-Source Direction of Arrival Estimation Using a Single Acoustic Vector Sensor.
Proceedings of the IEEE Asia Pacific Conference on Circuit and Systems, 2021

Motion Assisted Video-based Stereo Matching.
Proceedings of the IEEE Asia Pacific Conference on Circuit and Systems, 2021

2020
Cost and Pricing of Differential Privacy in Demand Reporting for Smart Grids.
IEEE Trans. Netw. Sci. Eng., 2020

Histogram of Oriented Gradients Feature Extraction From Raw Bayer Pattern Images.
IEEE Trans. Circuits Syst. II Express Briefs, 2020

Cascaded Form Sparse FIR Filter Design.
IEEE Trans. Circuits Syst. I Fundam. Theory Appl., 2020

Assessing and Mitigating Impact of Time Delay Attack: Case Studies for Power Grid Controls.
IEEE J. Sel. Areas Commun., 2020

Identifying Failing Point Machines from Sensor-Free Train System Logs.
Proceedings of the 2020 IEEE International Conference on Big Data (IEEE BigData 2020), 2020

Histogram of Oriented Gradients Feature Extraction Without Normalization.
Proceedings of the 2020 IEEE Asia Pacific Conference on Circuits and Systems, 2020

2019
One-Hop Out-of-Band Control Planes for Multi-Hop Wireless Sensor Networks.
ACM Trans. Sens. Networks, 2019

Design of Sparse FIR Filters With Reduced Effective Length.
IEEE Trans. Circuits Syst. I Regul. Pap., 2019

On the Validation of a Multiple-Network Poroelastic Model Using Arterial Spin Labeling MRI Data.
Frontiers Comput. Neurosci., 2019

On Lightweight Privacy-Preserving Collaborative Learning for IoT Objects.
CoRR, 2019

Association between basilar artery configuration and Vessel Wall features: a prospective high-resolution magnetic resonance imaging study.
BMC Medical Imaging, 2019

Learning-Based Time Delay Attack Characterization for Cyber-Physical Systems.
Proceedings of the 2019 IEEE International Conference on Communications, 2019

Sparse FIR Filter Design Based on Cascaded Compensation Structure.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2019

On lightweight privacy-preserving collaborative learning for internet-of-things objects.
Proceedings of the International Conference on Internet of Things Design and Implementation, 2019

Zero-shot Learning with Many Classes by High-rank Deep Embedding Networks.
Proceedings of the Twenty-Eighth International Joint Conference on Artificial Intelligence, 2019

Assessing and mitigating impact of time delay attack: a case study for power grid frequency control.
Proceedings of the 10th ACM/IEEE International Conference on Cyber-Physical Systems, 2019

Differentially Private Collaborative Learning for the IoT Edge.
Proceedings of the 2019 International Conference on Embedded Wireless Systems and Networks, 2019

2018
K-SVD Based Denoising Algorithm for DoFP Polarization Image Sensors.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2018

One-Hop Out-of-Band Control Planes for Low-Power Multi-Hop Wireless Networks.
Proceedings of the 2018 IEEE Conference on Computer Communications, 2018

Sparse FIR Filter Design Based on Interpolation Technique.
Proceedings of the 23rd IEEE International Conference on Digital Signal Processing, 2018

A Branch-and-Bound Algorithm with Reduced Search Space for Sparse Filter Design.
Proceedings of the 2018 IEEE Asia Pacific Conference on Circuits and Systems, 2018

2017
Low-Latency, Low-Area, and Scalable Systolic-Like Modular Multipliers for GF(2<sup>m</sup>) Based on Irreducible All-One Polynomials.
IEEE Trans. Circuits Syst. I Regul. Pap., 2017

Novel Structure for Area-Efficient Implementation of FIR Filters.
IEEE Trans. Circuits Syst. II Express Briefs, 2017

Lower Bound Analysis and Perturbation of Critical Path for Area-Time Efficient Multiple Constant Multiplications.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2017

A Proof of Concept Study for Criminal Network Analysis with Interactive Strategies.
Int. J. Softw. Eng. Knowl. Eng., 2017

Design of Low-Power Multiplierless Linear-Phase FIR Filters.
IEEE Access, 2017

A passively compensated capacitive sensor readout with biased varactor temperature compensation and temperature coherent quantization.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2017

Cost of differential privacy in demand reporting for smart grid economic dispatch.
Proceedings of the 2017 IEEE Conference on Computer Communications, 2017

Investigation on power consumption of product accumulation block for multiplierless FIR filters.
Proceedings of the 22nd International Conference on Digital Signal Processing, 2017

Low complexity and low power multiplierless FIR filter implementation.
Proceedings of the 12th IEEE International Conference on ASIC, 2017

2016
Analysis and Optimization of Product-Accumulation Section for Efficient Implementation of FIR Filters.
IEEE Trans. Circuits Syst. I Regul. Pap., 2016

Criminal Network Analysis with Interactive Strategies: A Proof of Concept Study using Mobile Call Logs.
Proceedings of the 28th International Conference on Software Engineering and Knowledge Engineering, 2016

2015
VANDER: Efficient Cooperative Watchdog Monitoring for Lossy Wireless Network Coding.
IEEE Trans. Veh. Technol., 2015

New Approach to the Reduction of Sign-Extension Overhead for Efficient Implementation of Multiple Constant Multiplications.
IEEE Trans. Circuits Syst. I Regul. Pap., 2015

Fine-Grained Critical Path Analysis and Optimization for Area-Time Efficient Realization of Multiple Constant Multiplications.
IEEE Trans. Circuits Syst. I Regul. Pap., 2015

Design of high-speed multiplierless linear-phase FIR filters.
Proceedings of the 2015 IEEE International Symposium on Circuits and Systems, 2015

Area-time efficient realization of multiple constant multiplication.
Proceedings of the 2015 IEEE International Symposium on Circuits and Systems, 2015

Fine-grained pipelining for multiple constant multiplications.
Proceedings of the 2015 IEEE International Symposium on Circuits and Systems, 2015

Mobility Prediction Assisted Handoff Decision Algorithm in HAPS Network.
Proceedings of the Fuzzy System and Data Mining, 2015

2014
Optimization Decomposition of Resistive Power Networks With Energy Storage.
IEEE J. Sel. Areas Commun., 2014

High-speed multiplier block design based on bit-level critical path optimization.
Proceedings of the IEEE International Symposium on Circuits and Systemss, 2014

2013
Profit-Optimal and Stability-Aware Load Curtailment in Smart Grids.
IEEE Trans. Smart Grid, 2013

Convex relaxation and decomposition in large resistive power networks with energy storage.
Proceedings of the IEEE Fourth International Conference on Smart Grid Communications, 2013

2012
DC optimal power flow: Uniqueness and algorithms.
Proceedings of the IEEE Third International Conference on Smart Grid Communications, 2012


  Loading...