Yuan Cao

Orcid: 0000-0001-5227-2241

Affiliations:
  • Hohai University, College of Internet of Things Engineering, Changzhou, China
  • Shenzhen University, College of Information Engineering, China (former)
  • Nanyang Technological University, School of Electrical and Electronic Engineering, Singapore (PhD 2015)
  • Hong Kong University of Science and Technology, School of Electrical and Computer Engineering, Hong Kong (former)


According to our database1, Yuan Cao authored at least 83 papers between 2010 and 2024.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2024
An Auto Chip Package Surface Defect Detection Based on Deep Learning.
IEEE Trans. Instrum. Meas., 2024

2023
A Design of High-Efficiency Coherent Sampling Based TRNG With On-Chip Entropy Assurance.
IEEE Trans. Circuits Syst. I Regul. Pap., December, 2023

Guest Editorial Special Issue on the Asian Hardware Oriented Security and Trust Symposium (AsianHOST 2022).
IEEE Trans. Circuits Syst. I Regul. Pap., December, 2023

A New Reconfigurable True Random Number Generator and Physical Unclonable Function Unified Chip With On-Chip Auto-Calibration.
IEEE Trans. Circuits Syst. I Regul. Pap., December, 2023

Representation Learning Method for Circular Seal Based on Modified MLP-Mixer.
Entropy, November, 2023

Scalable and Conflict-Free NTT Hardware Accelerator Design: Methodology, Proof, and Implementation.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., May, 2023

A PUF-Based Key Storage Scheme Using Fuzzy Vault.
Sensors, April, 2023

Chosen ciphertext correlation power analysis on Kyber.
Integr., 2023

SimCGNN: Simple Contrastive Graph Neural Network for Session-based Recommendation.
CoRR, 2023

Mandari: Multi-Modal Temporal Knowledge Graph-aware Sub-graph Embedding for Next-POI Recommendation.
Proceedings of the IEEE International Conference on Multimedia and Expo, 2023

Online Reliability Evaluation Design: Select Reliable CRPs for Arbiter PUF and Its Variants.
Proceedings of the IEEE European Test Symposium, 2023

A Template Attack on Reduction Without Reference Device on Kyber.
Proceedings of the 32nd IEEE Asian Test Symposium, 2023

2022
A New Energy-Efficient and High Throughput Two-Phase Multi-Bit per Cycle Ring Oscillator-Based True Random Number Generator.
IEEE Trans. Circuits Syst. I Regul. Pap., 2022

An Efficient Full Hardware Implementation of Extended Merkle Signature Scheme.
IEEE Trans. Circuits Syst. I Regul. Pap., 2022

Area, Time and Energy Efficient Multicore Hardware Accelerators for Extended Merkle Signature Scheme.
IEEE Trans. Circuits Syst. I Regul. Pap., 2022

Entropy Sources Based on Silicon Chips: True Random Number Generator and Physical Unclonable Function.
Entropy, 2022

Sequential Intention-aware Recommender based on User Interaction Graph.
Proceedings of the ICMR '22: International Conference on Multimedia Retrieval, Newark, NJ, USA, June 27, 2022

Exploring the high-throughput and low-delay hardware design of SM4 on FPGA.
Proceedings of the 19th International SoC Design Conference, 2022

Memristors Threshold Based Physical Unclonable Function.
Proceedings of the International Conference on Microelectronics, 2022

A Voltage Template Attack on the Modular Polynomial Subtraction in Kyber.
Proceedings of the 27th Asia and South Pacific Design Automation Conference, 2022

High-Speed and Low-Complexity Modular Reduction Design for CRYSTALS-Kyber.
Proceedings of the IEEE Asia Pacific Conference on Circuit and Systems, 2022

2021
An All-MOSFET Voltage Reference-Based PUF Featuring Low BER Sensitivity to VT Variations and 163 fJ/Bit in 180-nm CMOS.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2021

A Lightweight Full Entropy TRNG With On-Chip Entropy Assurance.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2021

Detecting LED Chip Surface Defects with Modified Faster R-CNN.
Proceedings of the 18th International SoC Design Conference, 2021

An Ultra-Low Power 3-T Chaotic Map based True Random Number Generator.
Proceedings of the Asian Hardware Oriented Security and Trust Symposium, 2021

2020
A 1036-F<sup>2</sup>/Bit High Reliability Temperature Compensated Cross-Coupled Comparator-Based PUF.
IEEE Trans. Very Large Scale Integr. Syst., 2020

Ed-PUF: Event-Driven Physical Unclonable Function for Camera Authentication in Reactive Monitoring System.
IEEE Trans. Inf. Forensics Secur., 2020

A PUF-Based Data-Device Hash for Tampered Image Detection and Source Camera Identification.
IEEE Trans. Inf. Forensics Secur., 2020

A 108 F<sup>2</sup>/Bit Fully Reconfigurable RRAM PUF Based on Truly Random Dynamic Entropy of Jitter Noise.
IEEE Trans. Circuits Syst., 2020

A 30fJ/b Current-Biased Inverter Based RO TRNG with High Temperature and Supply Voltage Stabilities.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2020

Optimization Space Exploration of Hardware Design for CRYSTALS-KYBER.
Proceedings of the 29th IEEE Asian Test Symposium, 2020

Survey: Hardware Trojan Detection for Netlist.
Proceedings of the 29th IEEE Asian Test Symposium, 2020

2019
Managing Recurrent Virtual Network Updates in Multi-Tenant Datacenters: A System Perspective.
IEEE Trans. Parallel Distributed Syst., 2019

Umbrella: Enabling ISPs to Offer Readily Deployable and Privacy-Preserving DDoS Prevention Services.
IEEE Trans. Inf. Forensics Secur., 2019

UDhashing: Physical Unclonable Function-Based User-Device Hash for Endpoint Authentication.
IEEE Trans. Ind. Electron., 2019

A 124 fJ/Bit Cascode Current Mirror Array Based PUF With 1.50% Native Unstable Bit Ratio.
IEEE Trans. Circuits Syst. I Regul. Pap., 2019

Intrinsic Physical Unclonable Function (PUF) Sensors in Commodity Devices.
Sensors, 2019

Managing Recurrent Virtual Network Updates in Multi-Tenant Datacenters: A System Perspective.
CoRR, 2019

AccFlow: Defending Against the Low-Rate TCP DoS Attack in Wireless Sensor Networks.
CoRR, 2019

An Energy-Efficient Current-Starved Inverter Based Strong Physical Unclonable Function With Enhanced Temperature Stability.
IEEE Access, 2019

A Highly Reliable Physical Unclonable Function Based on 2T Voltage Reference and Diode-Clamped Comparator.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2019

A Reliable Physical Unclonable Function Based on Differential Charging Capacitors.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2019

High-Speed True Random Number Generator Based on Differential Current Starved Ring Oscillators with Improved Thermal Stability.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2019

A Highly-Reliable and Energy-Efficient Physical Unclonable Function Based on 4T All-MOSFET Subthreshold Voltage Reference.
Proceedings of the Asian Hardware Oriented Security and Trust Symposium, 2019

Locking Secret Data in the Vault Leveraging Fuzzy PUFs.
Proceedings of the Asian Hardware Oriented Security and Trust Symposium, 2019

2018
A Low Power Diode-Clamped Inverter-Based Strong Physical Unclonable Function for Robust and Lightweight Authentication.
IEEE Trans. Circuits Syst. I Regul. Pap., 2018

A Compact and Low Power RO PUF with High Resilience to the EM Side-Channel Attack and the SVM Modelling Attack of Wireless Sensor Networks.
Sensors, 2018

A Compact 31.47 fJ/Conversion Subthreshold Level Shifter With Wide Conversion Range in 65 nm MTCMOS.
IEEE Access, 2018

Understanding Internet DDoS Mitigation from Academic and Industrial Perspectives.
IEEE Access, 2018

A Compact 65nm CMOS Sub-1-V All-MOSFET Voltage Reference with Low Average Temperature Coefficient of 13.9ppm/°C.
Proceedings of the IEEE 61st International Midwest Symposium on Circuits and Systems, 2018

A Sub-pico Joules Per Bit Robust Physical Unclonable Function Based on Subthreshold Voltage References.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2018

A Current Comparator Based Physical Unclonable Function with High Reliability and Energy Efficiency.
Proceedings of the 23rd IEEE International Conference on Digital Signal Processing, 2018

Robust Image Hashing Based on Hybrid Approach of Scale-Invariant Feature Transform and Local Binary Patterns.
Proceedings of the 23rd IEEE International Conference on Digital Signal Processing, 2018

Facial biohashing based user-device physical unclonable function for bring your own device security.
Proceedings of the IEEE International Conference on Consumer Electronics, 2018

A Fully Digital Physical Unclonable Function Based Temperature Sensor for Secure Remote Sensing.
Proceedings of the 27th International Conference on Computer Communication and Networks, 2018

Lightweight Hardware Based Secure Authentication Scheme for Fog Computing.
Proceedings of the 2018 IEEE/ACM Symposium on Edge Computing, 2018

Secrecy Performance of Cognitive Radio Sensor Networks with an Energy-Harvesting based Eavesdropper and Imperfect CSI.
Proceedings of the Asian Hardware Oriented Security and Trust Symposium, 2018

A Deep Learning Modeling Attack Method for MISR-APUF Protection Structures.
Proceedings of the 2018 IEEE Asia Pacific Conference on Circuits and Systems, 2018

A Novel Low Voltage DCVSL Circuit Design based on Wilson Current Mirror.
Proceedings of the 2018 IEEE Asia Pacific Conference on Circuits and Systems, 2018

2017
ACRO-PUF: A Low-power, Reliable and Aging-Resilient Current Starved Inverter-Based Ring Oscillator Physical Unclonable Function.
IEEE Trans. Circuits Syst. I Regul. Pap., 2017

Classification of Data from Electronic Nose Using Gradient Tree Boosting Algorithm.
Sensors, 2017

A reliable and compact physical unclonable function based on unstable bits recycling scheme.
Proceedings of the IEEE 60th International Midwest Symposium on Circuits and Systems, 2017

A low-power relaxation oscillator with improved thermal stability.
Proceedings of the International SoC Design Conference, 2017

A novel smoothness-based interpolation algorithm for division of focal plane Polarimeters.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2017

An energy-efficient true random number generator based on current starved ring oscillators.
Proceedings of the 2017 Asian Hardware Oriented Security and Trust Symposium, 2017

2016
A compact ultra-low power physical unclonable function based on time-domain current difference measurement.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2016

An energy-efficient subthreshold level shifter with a wide input voltage range.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2016

A new event-driven Dynamic Vision Sensor based Physical Unclonable Function for camera authentication in reactive monitoring system.
Proceedings of the 2016 IEEE Asian Hardware-Oriented Security and Trust, 2016

Using image sensor PUF as root of trust for birthmarking of perceptual image hash.
Proceedings of the 2016 IEEE Asian Hardware-Oriented Security and Trust, 2016

A low power relaxation oscillator with process insensitive auto-calibration scheme.
Proceedings of the 2016 IEEE Asia Pacific Conference on Circuits and Systems, 2016

Low-power, lightweight and reliability-enhanced current starved inverter based RO PUFs.
Proceedings of the 2016 IEEE Asia Pacific Conference on Circuits and Systems, 2016

A low power and compact physical unclonable function based on the cascode current mirrors.
Proceedings of the 2016 IEEE Asia Pacific Conference on Circuits and Systems, 2016

2015
CMOS Image Sensor Based Physical Unclonable Function for Coherent Sensor-Level Authentication.
IEEE Trans. Circuits Syst. I Regul. Pap., 2015

A Low-Power Hybrid RO PUF With Improved Thermal Stability for Lightweight Applications.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2015

2014
A Cluster-Based Distributed Active Current Sensing Circuit for Hardware Trojan Detection.
IEEE Trans. Inf. Forensics Secur., 2014

An Analog Gamma Correction Scheme for High Dynamic Range CMOS Logarithmic Image Sensors.
Sensors, 2014

CMOS image sensor based physical unclonable function for smart phone security applications.
Proceedings of the 2014 International Symposium on Integrated Circuits (ISIC), 2014

2013
Column-parallel continuous-time ΣΔ ADC with implicit front-end variable gain amplifier.
Proceedings of the IEEE 56th International Midwest Symposium on Circuits and Systems, 2013

Single slope/SAR column-parallel ADC with mixed-signal error correction.
Proceedings of the IEEE 56th International Midwest Symposium on Circuits and Systems, 2013

Cluster-based distributed active current timer for hardware Trojan detection.
Proceedings of the 2013 IEEE International Symposium on Circuits and Systems (ISCAS2013), 2013

2011
An analog gamma correction method for high dynamic range applications.
Proceedings of the IEEE 24th International SoC Conference, SOCC 2011, Taipei, Taiwan, 2011

2010
An ultra-low power current-mode CMOS image sensor with energy harvesting capability.
Proceedings of the 36th European Solid-State Circuits Conference, 2010

A Smart CMOS Image Sensor with On-chip Hot Pixel Correcting Readout Circuit for Biomedical Applications.
Proceedings of the Fifth IEEE International Symposium on Electronic Design, 2010


  Loading...