Xuanqi Chen

Orcid: 0000-0003-0276-1199

According to our database1, Xuanqi Chen authored at least 20 papers between 2016 and 2023.

Collaborative distances:
  • Dijkstra number2 of five.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2023
Record High Active Boron Doping using Low Temperature In-situ CVD: Enabling Sub-5×10<sup>-10</sup> Ω-cm<sup>2</sup> ρc from Cryogenic (5 K) to Room Temperature.
Proceedings of the 2023 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits), 2023

2022
HERO: Pbit High-Radix Optical Switch Based on Integrated Silicon Photonics for Data Center.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

Improving the thermal reliability of photonic chiplets on multicore processors.
Integr., 2022

Novel Field-Plate Integrated Mesa-Type InGaAs/InP Avalanche Photodiode.
Proceedings of the International Conference on IC Design and Technology, 2022

2021
Reduce Loss and Crosstalk in Integrated Silicon-Photonic Multistage Switching Fabrics Through Multichip Partition.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2021

Simultaneously Tolerate Thermal and Process Variations Through Indirect Feedback Tuning for Silicon Photonic Networks.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2021

2020
Multidomain Inter/Intrachip Silicon Photonic Networks for Energy-Efficient Rack-Scale Computing Systems.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020

A Cross-Layer Optimization Framework for Integrated Optical Switches in Data Centers.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020

CAMON: Low-Cost Silicon Photonic Chiplet for Manycore Processors.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020

Modeling and Analysis of Optical Modulators Based on Free-Carrier Plasma Dispersion Effect.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020

Efficient Optical Power Delivery System for Hybrid Electronic-Photonic Manycore Processors.
Proceedings of the 2020 Design, Automation & Test in Europe Conference & Exhibition, 2020

2019
Crosstalk Noise Reduction Through Adaptive Power Control in Inter/Intra-Chip Optical Networks.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2019

Scalable Low-Power High-Performance Rack-Scale Optical Network.
Proceedings of the 2019 IEEE/ACM Workshop on Photonics-Optics Technology Oriented Networking, 2019

Systematic Exploration of High-Radix Integrated Silicon Photonic Switches for Datacenters.
Proceedings of the International Conference on Computer-Aided Design, 2019

2018
A Comprehensive Electro-Optical Model for Silicon Photonic Switches.
Proceedings of the 2018 IEEE Computer Society Annual Symposium on VLSI, 2018

Co-manage power delivery and consumption for manycore systems using reinforcement learning.
Proceedings of the International Conference on Computer-Aided Design, 2018

RSON: An inter/intra-chip silicon photonic network for rack-scale computing systems.
Proceedings of the 2018 Design, Automation & Test in Europe Conference & Exhibition, 2018

2017
MOCA: an Inter/Intra-Chip Optical Network for Memory.
Proceedings of the 54th Annual Design Automation Conference, 2017

Modular reinforcement learning for self-adaptive energy efficiency optimization in multicore system.
Proceedings of the 22nd Asia and South Pacific Design Automation Conference, 2017

2016
Inter/intra-chip optical interconnection network: opportunities, challenges, and implementations.
Proceedings of the Tenth IEEE/ACM International Symposium on Networks-on-Chip, 2016


  Loading...