Xueqing Li

Orcid: 0000-0001-8656-3573

According to our database1, Xueqing Li authored at least 193 papers between 2003 and 2024.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2024
A Module-Level Configuration Methodology for Programmable Camouflaged Logic.
ACM Trans. Design Autom. Electr. Syst., March, 2024

ULSeq-TA: Ultra-Long Sequence Attention Fusion Transformer Accelerator Supporting Grouped Sparse Softmax and Dual-Path Sparse LayerNorm.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., March, 2024

TFT-Based Near-Sensor In-Memory Computing: Circuits and Architecture Perspectives of Large-Area eDRAM and ROM CiM Chips.
IEEE Trans. Circuits Syst. I Regul. Pap., February, 2024

Research on Quad-Frequency PPP-B2b Time Transfer.
IEEE Instrum. Meas. Mag., February, 2024

ProtFe: Low-Cost Secure Power Side-Channel Protection for General and Custom FeFET-Based Memories.
ACM Trans. Design Autom. Electr. Syst., January, 2024

GRAPHIC: Gather and Process Harmoniously in the Cache With High Parallelism and Flexibility.
IEEE Trans. Emerg. Top. Comput., 2024

Transformer-Based End-to-End Speech Translation With Rotary Position Embedding.
IEEE Signal Process. Lett., 2024

34.7 A 28nm 2.4Mb/mm<sup>2</sup> 6.9 - 16.3TOPS/mm<sup>2</sup> eDRAM-LUT-Based Digital-Computing-in-Memory Macro with In-Memory Encoding and Refreshing.
Proceedings of the IEEE International Solid-State Circuits Conference, 2024

2023
Low-Power and Scalable BEOL-Compatible IGZO TFT eDRAM-Based Charge-Domain Computing.
IEEE Trans. Circuits Syst. I Regul. Pap., December, 2023

CoGCN: co-occurring item-aware GCN for recommendation.
Neural Comput. Appl., December, 2023

Online emergency demand response mechanism for new power system.
Comput. Electr. Eng., August, 2023

SAMBA: Single-ADC Multi-Bit Accumulation Compute-in-Memory Using Nonlinearity- Compensated Fully Parallel Analog Adder Tree.
IEEE Trans. Circuits Syst. I Regul. Pap., July, 2023

A 16-Bit 4.0-GS/s Calibration-Free 65 nm DAC Achieving >70 dBc SFDR and < -80 dBc IM3 Up to 1 GHz With Enhanced Constant-Switching-Activity Data-Weighted-Averaging.
IEEE Trans. Circuits Syst. I Regul. Pap., May, 2023

FAST: A Fully-Concurrent Access SRAM Topology for High Row-Wise Parallelism Applications Based on Dynamic Shift Operations.
IEEE Trans. Circuits Syst. II Express Briefs, April, 2023

A 6.0-GS/s Time-Interleaved DAC Using an Asymmetric Current-Tree Summation Network and Differential Clock Timing Calibration.
IEEE Trans. Very Large Scale Integr. Syst., February, 2023

FeFET-Based Logic-in-Memory Supporting SA-Free Write-Back and Fully Dynamic Access With Reduced Bitline Charging Activity and Recycled Bitline Charge.
IEEE Trans. Circuits Syst. I Regul. Pap., 2023

CREAM: Computing in ReRAM-Assisted Energy- and Area-Efficient SRAM for Reliable Neural Network Acceleration.
IEEE Trans. Circuits Syst. I Regul. Pap., 2023

Deep Temporal State Perception Toward Artificial Cyber-Physical Systems.
IEEE Internet Things J., 2023

A 5.6-89.9TOPS/W Heterogeneous Computing-in-Memory SoC with High-Utilization Producer-Consumer Architecture and High-Frequency Read-Free CIM Macro.
Proceedings of the 2023 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits), 2023

Fe-GCN: A 3D FeFET Memory Based PIM Accelerator for Graph Convolutional Networks.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2023

Design Exploration of Dynamic Multi-Level Ternary Content-Addressable Memory Using Nanoelectromechanical Relays.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2023

A 28nm 16.9-300TOPS/W Computing-in-Memory Processor Supporting Floating-Point NN Inference/Training with Intensive-CIM Sparse-Digital Architecture.
Proceedings of the IEEE International Solid- State Circuits Conference, 2023

A 28nm 38-to-102-TOPS/W 8b Multiply-Less Approximate Digital SRAM Compute-In-Memory Macro for Neural-Network Inference.
Proceedings of the IEEE International Solid- State Circuits Conference, 2023

Lowering Latency of Embedded Memory by Exploiting In-Cell Victim Cache Hierarchy Based on Emerging Multi-Level Memory Devices.
Proceedings of the IEEE/ACM International Conference on Computer Aided Design, 2023

TL-nvSRAM-CIM: Ultra-High-Density Three-Level ReRAM-Assisted Computing-in-nvSRAM with DC-Power Free Restore and Ternary MAC Operations.
Proceedings of the IEEE/ACM International Conference on Computer Aided Design, 2023

ASMCap: An Approximate String Matching Accelerator for Genome Sequence Analysis Based on Capacitive Content Addressable Memory.
Proceedings of the 60th ACM/IEEE Design Automation Conference, 2023

Victor: A Variation-resilient Approach Using Cell-Clustered Charge-domain computing for High-density High-throughput MLC CiM.
Proceedings of the 60th ACM/IEEE Design Automation Conference, 2023

A Novel Fault Diagnosis Method Based on Feature Fusion and Model Agnostic Meta-Learning.
Proceedings of the 19th IEEE International Conference on Automation Science and Engineering, 2023

A 10TFLOPS Datacenter-Oriented GPU with 4-Corner Stacked 64GB Memory by The Means of 2.5D Packaging Technology.
Proceedings of the IEEE Asian Solid-State Circuits Conference, 2023

WeightLock: A Mixed-Grained Weight Encryption Approach Using Local Decrypting Units for Ciphertext Computing in DNN Accelerators.
Proceedings of the 5th IEEE International Conference on Artificial Intelligence Circuits and Systems, 2023

2022
CapCAM: A Multilevel Capacitive Content Addressable Memory for High-Accuracy and High-Scalability Search and Compute Applications.
IEEE Trans. Very Large Scale Integr. Syst., 2022

PACA: A Pattern Pruning Algorithm and Channel-Fused High PE Utilization Accelerator for CNNs.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

Analysis of BDS-3 PPP-B2b Positioning and Time Transfer Service.
Remote. Sens., 2022

STICKER-IM: A 65 nm Computing-in-Memory NN Processor Using Block-Wise Sparsity Optimization and Inter/Intra-Macro Data Reuse.
IEEE J. Solid State Circuits, 2022

A 65-nm Energy-Efficient Interframe Data Reuse Neural Network Accelerator for Video Applications.
IEEE J. Solid State Circuits, 2022

Eliminating Leakage in Volatile Memory with Anti-Ferroelectric Transistors.
CoRR, 2022

A 65nm 8b-Activation 8b-Weight SRAM-Based Charge-Domain Computing-in-Memory Macro Using A Fully-Parallel Analog Adder Network and A Single-ADC Interface.
CoRR, 2022

Ferroelectric FET-based strong physical unclonable function: a low-power, high-reliable and reconfigurable solution for Internet-of-Things security.
CoRR, 2022

GRAPHIC: GatheR-And-Process in Highly parallel with In-SSD Compression Architecture in Very Large-Scale Graph.
CoRR, 2022

ALL-MASK: A Reconfigurable Logic Locking Method for Multicore Architecture with Sequential-Instruction-Oriented Key.
CoRR, 2022

FAST: A Fully-Concurrent Access Technique to All SRAM Rows for Enhanced Speed and Energy Efficiency in Data-Intensive Applications.
CoRR, 2022

Research on wireless spectrum allocation and utility evaluation of cross-domain multi-slot radio.
Comput. Electr. Eng., 2022

Smartphone uses and emotional and psychological well-being in China: the attenuating role of perceived information overload.
Behav. Inf. Technol., 2022

A Grid Frequency Support Control Strategy of the Three Phase Cascaded H-Bridge Based Photovoltaic Generation System.
IEEE Access, 2022

An 8T/Cell FeFET-Based Nonvolatile SRAM with Improved Density and Sub-fJ Backup and Restore Energy.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2022

Hidden-ROM: A Compute-in-ROM Architecture to Deploy Large-Scale Neural Networks on Chip with Flexible and Scalable Post-Fabrication Task Transfer Capability.
Proceedings of the 41st IEEE/ACM International Conference on Computer-Aided Design, 2022

Application of Software Cost Measurement in the Construction of Ship Information Management System.
Proceedings of the 9th International Conference on Dependable Systems and Their Applications, 2022

Software Reliability Evaluation System of Ship Information Management System.
Proceedings of the 9th International Conference on Dependable Systems and Their Applications, 2022

CREAM: computing in ReRAM-assisted energy and area-efficient SRAM for neural network acceleration.
Proceedings of the DAC '22: 59th ACM/IEEE Design Automation Conference, San Francisco, California, USA, July 10, 2022

YOLoC: deploy large-scale neural network by ROM-based computing-in-memory using residual branch on a chip.
Proceedings of the DAC '22: 59th ACM/IEEE Design Automation Conference, San Francisco, California, USA, July 10, 2022

2021
Mobile-Mediated Communication in Romantic Relationships: The Effects of Communication Indicators and Love Attitude on Relationship Quality.
Cyberpsychology Behav. Soc. Netw., July, 2021

DyTAN: Dynamic Ternary Content Addressable Memory Using Nanoelectromechanical Relays.
IEEE Trans. Very Large Scale Integr. Syst., 2021

Enabling Lower-Power Charge-Domain Nonvolatile In-Memory Computing With Ferroelectric FETs.
IEEE Trans. Circuits Syst. II Express Briefs, 2021

Discrimination and Correlation Analysis of Multiview SAR Images with Application to Target Recognition.
Sci. Program., 2021

Efficient continuous KNN join processing for real-time recommendation.
Pers. Ubiquitous Comput., 2021

Temporal representation learning for time series classification.
Neural Comput. Appl., 2021

STICKER-T: An Energy-Efficient Neural Network Processor Using Block-Circulant Algorithm and Unified Frequency-Domain Acceleration.
IEEE J. Solid State Circuits, 2021

Temporal anomaly detection on IIoT-enabled manufacturing.
J. Intell. Manuf., 2021

Multi-resolution Representation for Streaming Time Series Retrieval.
Int. J. Pattern Recognit. Artif. Intell., 2021

SPAR: set-based piecewise aggregate representation for time series anomaly detection.
Sci. China Inf. Sci., 2021

UGRec: Modeling Directed and Undirected Relations for Recommendation.
Proceedings of the SIGIR '21: The 44th International ACM SIGIR Conference on Research and Development in Information Retrieval, 2021

High Area/Energy Efficiency RRAM CNN Accelerator with Pattern-Pruning-Based Weight Mapping Scheme.
Proceedings of the 10th IEEE Non-Volatile Memory Systems and Applications Symposium, 2021

A 2.75-to-75.9TOPS/W Computing-in-Memory NN Processor Supporting Set-Associate Block-Wise Zero Skipping and Ping-Pong CIM with Simultaneous Computation and Weight Updating.
Proceedings of the IEEE International Solid-State Circuits Conference, 2021

Reducing Signal Swing Overheads to Only 8% in Background 3<sup>rd</sup>-Order Inter-Stage Gain Error Calibration for Pipeline ADCs.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2021

Almost-Nonvolatile IGZO-TFT-Based Near-Sensor In-Memory Computing.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2021

Dynamic Switching Sequence to Compensate the Integral Nonlinearity in Current-Steering DACs.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2021

Capacitive Content-Addressable Memory: A Highly Reliable and Scalable Approach to Energy-Efficient Parallel Pattern Matching Applications.
Proceedings of the GLSVLSI '21: Great Lakes Symposium on VLSI 2021, 2021

Exploiting FeFET Switching Stochasticity for Low-Power Reconfigurable Physical Unclonable Function.
Proceedings of the 51st IEEE European Solid-State Device Research Conference, 2021

A 16-Bit 4.0-GS/s Calibration-Free 65nm DAC with >70dBc SFDR and <-80dBc IM3 up to 1GHz Using Constant-Activity Element Switching.
Proceedings of the 47th ESSCIRC 2021, 2021

Dynamic Ternary Content-Addressable Memory Is Indeed Promising: Design and Benchmarking Using Nanoelectromechanical Relays.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2021

2020
Smartphones and psychological well-being in China: Examining direct and indirect relationships through social support and relationship satisfaction.
Telematics Informatics, 2020

One-Shot Refresh: A Low-Power Low-Congestion Approach for Dynamic Memories.
IEEE Trans. Circuits Syst., 2020

A novel multi-resolution representation for time series sensor data analysis.
Soft Comput., 2020

STICKER: An Energy-Efficient Multi-Sparsity Compatible Accelerator for Convolutional Neural Networks in 65-nm CMOS.
IEEE J. Solid State Circuits, 2020

The application of an amended FCA method on knowledge acquisition and representation for interpreting meteorological services.
J. Ambient Intell. Humaniz. Comput., 2020

Feature-Based Online Representation Algorithm for Streaming Time Series Similarity Search.
Int. J. Pattern Recognit. Artif. Intell., 2020

High Area/Energy Efficiency RRAM CNN Accelerator with Kernel-Reordering Weight Mapping Scheme Based on Pattern Pruning.
CoRR, 2020

Video-Based Human Motion Capture Data Retrieval via MotionSet Network.
IEEE Access, 2020

A Password Strength Evaluation Algorithm based on Sensitive Personal Information.
Proceedings of the 19th IEEE International Conference on Trust, 2020

14.3 A 65nm Computing-in-Memory-Based CNN Processor with 2.9-to-35.8TOPS/W System Energy Efficiency Using Dynamic-Sparsity Performance-Scaling Architecture and Energy-Efficient Inter/Intra-Macro Data Reuse.
Proceedings of the 2020 IEEE International Solid- State Circuits Conference, 2020

14.2 A 65nm 24.7µJ/Frame 12.3mW Activation-Similarity-Aware Convolutional Neural Network Video Processor Using Hybrid Precision, Inter-Frame Data Reuse and Mixed-Bit-Width Difference-Frame Data Codec.
Proceedings of the 2020 IEEE International Solid- State Circuits Conference, 2020

Integrated CAM-RAM Functionality using Ferroelectric FETs.
Proceedings of the 21st International Symposium on Quality Electronic Design, 2020

FeFET-based low-power bitwise logic-in-memory with direct write-back and data-adaptive dynamic sensing interface.
Proceedings of the ISLPED '20: ACM/IEEE International Symposium on Low Power Electronics and Design, 2020

WSN Signal Reconstruction Based on Unknown Sparse Compressed Sensing.
Proceedings of the ICSIM '20: The 3rd International Conference on Software Engineering and Information Management, 2020

WC2FEst-Net: Wavelet-Based Coarse-to-Fine Head Pose Estimation from a Single Image.
Proceedings of the Neural Information Processing - 27th International Conference, 2020

Design Insights of Non-volatile Processors and Accelerators in Energy Harvesting Systems.
Proceedings of the GLSVLSI '20: Great Lakes Symposium on VLSI 2020, 2020

Design of Almost-Nonvolatile Embedded DRAM Using Nanoelectromechanical Relay Devices.
Proceedings of the 2020 Design, Automation & Test in Europe Conference & Exhibition, 2020

High PE Utilization CNN Accelerator with Channel Fusion Supporting Pattern-Compressed Sparse Neural Networks.
Proceedings of the 57th ACM/IEEE Design Automation Conference, 2020

Adaptive Circuit Approaches to Low-Power Multi-Level/Cell FeFET Memory.
Proceedings of the 25th Asia and South Pacific Design Automation Conference, 2020

2019
Utilization of Negative-Capacitance FETs to Boost Analog Circuit Performances.
IEEE Trans. Very Large Scale Integr. Syst., 2019

ROBIN: Monolithic-3D SRAM for Enhanced Robustness with In-Memory Computation Support.
IEEE Trans. Circuits Syst. I Regul. Pap., 2019

Demystifying and Mitigating Code-Dependent Switching Distortions in Current-Steering DACs.
IEEE Trans. Circuits Syst. I Regul. Pap., 2019

Label Space Embedding of Manifold Alignment for Domain Adaption.
Neural Process. Lett., 2019

A 130-nm Ferroelectric Nonvolatile System-on-Chip With Direct Peripheral Restore Architecture for Transient Computing System.
IEEE J. Solid State Circuits, 2019

Interactive temporal display through collaboration networks visualization.
Inf. Vis., 2019

Emerging technologies and computing paradigms for the Internet of Everything applications. International Journal of Circuit, Theory, and Applications.
Int. J. Circuit Theory Appl., 2019

Design of 2T/Cell and 3T/Cell Nonvolatile Memories with Emerging Ferroelectric FETs.
IEEE Des. Test, 2019

Multi-resolution representation with recurrent neural networks application for streaming time series in IoT.
Comput. Networks, 2019

A Novel Segmentation and Representation Approach for Streaming Time Series.
IEEE Access, 2019

Enabling New Computing Paradigms with Emerging Symmetric-Access Memories.
Proceedings of the IEEE/ACM International Symposium on Nanoscale Architectures, 2019

A 1.8mW Perception Chip with Near-Sensor Processing Scheme for Low-Power AIoT Applications.
Proceedings of the 2019 IEEE Computer Society Annual Symposium on VLSI, 2019

A 65nm 0.39-to-140.3TOPS/W 1-to-12b Unified Neural Network Processor Using Block-Circulant-Enabled Transpose-Domain Acceleration with 8.1 × Higher TOPS/mm<sup>2</sup>and 6T HBST-TRAM-Based 2D Data-Reuse Architecture.
Proceedings of the IEEE International Solid- State Circuits Conference, 2019

A Novel Efficient Query Strategy on Hibernate.
Proceedings of the 8th International Conference on Software and Computer Applications, 2019

Efficient Search of the Optimal Prefix and Suffix Invariant Size for Dynamic Time Warping.
Proceedings of the 21st IEEE International Conference on High Performance Computing and Communications; 17th IEEE International Conference on Smart City; 5th IEEE International Conference on Data Science and Systems, 2019

A 16b Clockless Digital-to-Analog Converter with Ultra-Low-Cost Poly Resistors Supporting Wide-Temperature Range from -40°C to 85°C.
Proceedings of the 2019 on Great Lakes Symposium on VLSI, 2019

A 3T/Cell Practical Embedded Nonvolatile Memory Supporting Symmetric Read and Write Access Based on Ferroelectric FETs.
Proceedings of the 56th Annual Design Automation Conference 2019, 2019

A Sparse-Adaptive CNN Processor with Area/Performance balanced N-Way Set-Associate PE Arrays Assisted by a Collision-Aware Scheduler.
Proceedings of the IEEE Asian Solid-State Circuits Conference, 2019

AERIS: area/energy-efficient 1T2R ReRAM based processing-in-memory neural network system-on-a-chip.
Proceedings of the 24th Asia and South Pacific Design Automation Conference, 2019

Generic Video-Based Motion Capture Data Retrieval.
Proceedings of the 2019 Asia-Pacific Signal and Information Processing Association Annual Summit and Conference, 2019

2018
Compact 3-D-SRAM Memory With Concurrent Row and Column Data Access Capability Using Sequential Monolithic 3-D Integration.
IEEE Trans. Very Large Scale Integr. Syst., 2018

Symmetric 2-D-Memory Access to Multidimensional Data.
IEEE Trans. Very Large Scale Integr. Syst., 2018

IAA: Incidental Approximate Architectures for Extremely Energy-Constrained Energy Harvesting Scenarios using IoT Nonvolatile Processors.
IEEE Micro, 2018

An Accuracy Tunable Non-Boolean Co-Processor Using Coupled Nano-Oscillators.
ACM J. Emerg. Technol. Comput. Syst., 2018

Redundancy-bandwidth scalable techniques for signal-independent element transition rates in high-speed current-steering DACs.
Int. J. Circuit Theory Appl., 2018

Sticker: A 0.41-62.1 TOPS/W 8Bit Neural Network Processor with Multi-Sparsity Compatible Convolution Arrays and Online Tuning Acceleration for Fully Connected Layers.
Proceedings of the 2018 IEEE Symposium on VLSI Circuits, 2018

Nonvolatile Memory and Computing Using Emerging Ferroelectric Transistors.
Proceedings of the 2018 IEEE Computer Society Annual Symposium on VLSI, 2018

A Monolithic-3D SRAM Design with Enhanced Robustness and In-Memory Computation Support.
Proceedings of the International Symposium on Low Power Electronics and Design, 2018

Feature-based Online Segmentation Algorithm for Streaming Time Series (Short Paper).
Proceedings of the Collaborative Computing: Networking, Applications and Worksharing, 2018

NEOFog: Nonvolatility-Exploiting Optimizations for Fog Computing.
Proceedings of the Twenty-Third International Conference on Architectural Support for Programming Languages and Operating Systems, 2018

2017
Dynamic Power and Energy Management for Energy Harvesting Nonvolatile Processor Systems.
ACM Trans. Embed. Comput. Syst., 2017

Maximum Energy Efficiency Tracking Circuits for Converter-Less Energy Harvesting Sensor Nodes.
IEEE Trans. Circuits Syst. II Express Briefs, 2017

Advancing Nonvolatile Computing With Nonvolatile NCFET Latches and Flip-Flops.
IEEE Trans. Circuits Syst. I Regul. Pap., 2017

Point set surface compression based on shape pattern analysis.
Multim. Tools Appl., 2017

Semi-supervised manifold alignment with few correspondences.
Neurocomputing, 2017

The contribution of mobile social media to social capital and psychological well-being: Examining the role of communicative use, friending and self-disclosure.
Comput. Hum. Behav., 2017

Interactive Temporal Visualization of Collaboration Networks.
Proceedings of the Advances in Multimedia Information Processing - PCM 2017, 2017

Incidental computing on IoT nonvolatile processors.
Proceedings of the 50th Annual IEEE/ACM International Symposium on Microarchitecture, 2017

Improving FPGA Design with Monolithic 3D Integration Using High Dense Inter-Stack Via.
Proceedings of the 2017 IEEE Computer Society Annual Symposium on VLSI, 2017

Evaluating tradeoffs in granularity and overheads in supporting nonvolatile execution semantics.
Proceedings of the 18th International Symposium on Quality Electronic Design, 2017

Harnessing ferroelectrics for non-volatile memories and logic.
Proceedings of the 18th International Symposium on Quality Electronic Design, 2017

A Novel Multi-resolution Representation for Streaming Time Series.
Proceedings of the 2017 International Conference on Identification, 2017

Nonvolatile processors: Why is it trending?
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2017

Spendthrift: Machine learning based resource and frequency scaling for ambient energy harvesting nonvolatile processors.
Proceedings of the 22nd Asia and South Pacific Design Automation Conference, 2017

2016
Exploration of Low-Power High-SFDR Current-Steering D/A Converter Design Using Steep-Slope Heterojunction Tunnel FETs.
IEEE Trans. Very Large Scale Integr. Syst., 2016

Enabling New Computation Paradigms with HyperFET - An Emerging Device.
IEEE Trans. Multi Scale Comput. Syst., 2016

Solar Power Prediction Assisted Intra-task Scheduling for Nonvolatile Sensor Nodes.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2016

Nonvolatile Processor Architectures: Efficient, Reliable Progress with Unstable Power.
IEEE Micro, 2016

Comparative Area and Parasitics Analysis in FinFET and Heterojunction Vertical TFET Standard Cells.
ACM J. Emerg. Technol. Comput. Syst., 2016

Enabling Internet-of-Things with Opportunities Brought by Emerging Devices, Circuits and Architectures.
Proceedings of the VLSI-SoC: System-on-Chip in the Nanoscale Era - Design, Verification and Reliability, 2016

Device Circuit Co Design of FEFET Based Logic for Low Voltage Processors.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2016

Efficient Snapshot KNN Join Processing for Large Data Using MapReduce.
Proceedings of the 22nd IEEE International Conference on Parallel and Distributed Systems, 2016

Nonvolatile memory design based on ferroelectric FETs.
Proceedings of the 53rd Annual Design Automation Conference, 2016

A Continuous Segmentation Algorithm for Streaming Time Series.
Proceedings of the Collaborate Computing: Networking, Applications and Worksharing, 2016

A K-Motifs Discovery Approach for Large Time-Series Data Analysis.
Proceedings of the Web Technologies and Applications - 18th Asia-Pacific Web Conference, 2016

2015
Estimation of Sunlight Direction Using 3D Object Models.
IEEE Trans. Image Process., 2015

Nonvolatile Processor Architecture Exploration for Energy-Harvesting Applications.
IEEE Micro, 2015

Color constancy by combining low-mid-high level image cues.
Comput. Vis. Image Underst., 2015

Progressive point set surface compression based on planar reflective symmetry analysis.
Comput. Aided Des., 2015

A High-Efficiency Switched-Capacitance HTFET Charge Pump for Low-Input-Voltage Applications.
Proceedings of the 28th International Conference on VLSI Design, 2015

Course Similarity Calculation Using Efficient Manifold Ranking.
Proceedings of the Knowledge Science, Engineering and Management, 2015

Using Multiple-Input NEMS for Parallel A/D Conversion and Image Processing.
Proceedings of the 2015 IEEE Computer Society Annual Symposium on VLSI, 2015

A 14-bit 1.0-GS/s dynamic element matching DAC with >80 dB SFDR up to the Nyquist.
Proceedings of the 2015 IEEE International Symposium on Circuits and Systems, 2015

Dynamic Machine Learning Based Matching of Nonvolatile Processor Microarchitecture to Harvested Energy Profile.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2015

Architecture exploration for ambient energy harvesting nonvolatile processors.
Proceedings of the 21st IEEE International Symposium on High Performance Computer Architecture, 2015

Ambient energy harvesting nonvolatile processors: from circuit to system.
Proceedings of the 52nd Annual Design Automation Conference, 2015

Self-powered wearable sensor node: Challenges and opportunities.
Proceedings of the 2015 International Conference on Compilers, 2015

2014
A 14 Bit 500 MS/s CMOS DAC Using Complementary Switched Current Sources and Time-Relaxed Interleaving DRRZ.
IEEE Trans. Circuits Syst. I Regul. Pap., 2014

Oscillation analysis for salient object detection.
Multim. Tools Appl., 2014

Research on Automated Software Test Case Generation.
J. Softw., 2014

Tunnel FET RF Rectifier Design for Energy Harvesting Applications.
IEEE J. Emerg. Sel. Topics Circuits Syst., 2014

Interpolation-tuned salient region detection.
Sci. China Inf. Sci., 2014

Low-power high-speed current mode logic using Tunnel-FETs.
Proceedings of the 22nd International Conference on Very Large Scale Integration, 2014

Understanding the landscape of accelerators for vision.
Proceedings of the 2014 IEEE Workshop on Signal Processing Systems, 2014

Rf-powered systems using steep-slope devices.
Proceedings of the IEEE 12th International New Circuits and Systems Conference, 2014

Independently-Controlled-Gate FinFET 6T SRAM Cell Design for Leakage Current Reduction and Enhanced Read Access Speed.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2014

A Low-Voltage Low-Power LC Oscillator Using the Diode-Connected SymFET.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2014

Tunnel FET-based ultra-low power, low-noise amplifier design for bio-signal acquisition.
Proceedings of the International Symposium on Low Power Electronics and Design, 2014

An improved error diffusion algorithm based on visual difference.
Proceedings of the 2014 IEEE International Conference on Image Processing, 2014

Data Extraction from DXF File and Visual Display.
Proceedings of the HCI International 2014 - Posters' Extended Abstracts, 2014

An Improved Error Diffusion Algorithm Based on Laplacian Transform and Adaptive Median Filter.
Proceedings of the HCI International 2014 - Posters' Extended Abstracts, 2014

Steep Slope Devices: Enabling New Architectural Paradigms.
Proceedings of the 51st Annual Design Automation Conference 2014, 2014

2013
A Background Correction Method Based on Lazy Snapping.
Proceedings of the Seventh International Conference on Image and Graphics, 2013

Principal Directions Estimation on Point Set Surfaces.
Proceedings of the Seventh International Conference on Image and Graphics, 2013

High visual quality anaglyph production.
Proceedings of the Fifth International Conference on Digital Image Processing, 2013

Indoor-outdoor image classification using mid-level cues.
Proceedings of the Asia-Pacific Signal and Information Processing Association Annual Summit and Conference, 2013

2012
Image resizing via non-homogeneous warping.
Multim. Tools Appl., 2012

Balanced Switching Schemes for Gradient-Error Compensation in Current-Steering DACs.
IEICE Trans. Electron., 2012

Leveraging stereopsis for saliency analysis.
Proceedings of the 2012 IEEE Conference on Computer Vision and Pattern Recognition, 2012

2011
Non-uniform Mesh Warping for Content-Aware Image Retargeting.
Proceedings of the Image Analysis and Recognition - 8th International Conference, 2011

Code-independent output impedance: A new approach to increasing the linearity of current-steering DACs.
Proceedings of the 18th IEEE International Conference on Electronics, Circuits and Systems, 2011

2010
A dynamic alignment algorithm for imperfect speech and transcript.
Comput. Sci. Inf. Syst., 2010

Determining the directional contact range of two convex polyhedra.
Comput. Aided Des., 2010

A model driven visualization platform for workflow.
Proceedings of the 2010 International Symposium on Visual Information Communication, 2010

Adaptive Energy Diffusion for Blind Inverse Halftoning.
Proceedings of the Advances in Multimedia Information Processing - PCM 2010, 2010

Warp propagation for video resizing.
Proceedings of the Twenty-Third IEEE Conference on Computer Vision and Pattern Recognition, 2010

The complexity of perception of image distortion: an initial study.
Proceedings of the 28th International Conference on Human Factors in Computing Systems, 2010

Detection of image stretching.
Proceedings of the 7th Symposium on Applied Perception in Graphics and Visualization, 2010

2009
Example-Based Floral Pattern Generation.
Proceedings of the Fifth International Conference on Image and Graphics, 2009

2008
Through-the-Lens Scene Design.
Proceedings of the Smart Graphics, 8th International Symposium, 2008

Practical Training in the Embedded System Education: A New Way to Narrow the Gap with Industry.
Proceedings of the 9th International Conference for Young Computer Scientists, 2008

2007
Research of Model-Driven Interactive Automatic / Semi-automatic Form Building.
Proceedings of the Human Interface and the Management of Information. Methods, 2007

2004
Lifetime-Extended, Power-Aware, Non-position Base Localized Routing in Hybrid Ad-Hoc/Infrastructure Network.
Proceedings of the Ad-Hoc, Mobile, and Wireless Networks: Third International Conference, 2004

2003
Using low-discrepancy sequences and the Crofton formula to compute surface areas of geometric models.
Comput. Aided Des., 2003


  Loading...