Zhixuan Wang

Orcid: 0000-0002-1044-214X

According to our database1, Zhixuan Wang authored at least 32 papers between 2018 and 2024.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2024
Marine Heatwave and Terrestrial Drought Reduced CO2 Uptake in the East China Sea in 2022.
Remote. Sens., March, 2024

A 0.39-mm<sup>2</sup> Stacked Standard-CMOS Humidity Sensor Using a Charge-Redistribution Correlated Level Shifting Floating Inverter Amplifier and a VCO-Based Zoom CDC.
IEEE J. Solid State Circuits, February, 2024

Research on Maximum Power Point Tracking Control in Omnidirectional Wireless Power Transfer System.
IEEE Trans. Ind. Electron., 2024

30.2 A 22nm 0.26nW/Synapse Spike-Driven Spiking Neural Network Processing Unit Using Time-Step-First Dataflow and Sparsity-Adaptive In-Memory Computing.
Proceedings of the IEEE International Solid-State Circuits Conference, 2024

2023
Research progress on low-power artificial intelligence of things (AIoT) chip design.
Sci. China Inf. Sci., October, 2023

Research on Mechanical Equipment Fault Diagnosis Method Based on Deep Learning and Information Fusion.
Sensors, August, 2023

An 82-nW 0.53-pJ/SOP Clock-Free Spiking Neural Network With 40-μs Latency for AIoT Wake-Up Functions Using a Multilevel-Event-Driven Bionic Architecture and Computing-in-Memory Technique.
IEEE Trans. Circuits Syst. I Regul. Pap., 2023

intCV: Automatically Inferring Correlated Variables in Interrrupt-Driven Program.
Proceedings of the 23rd IEEE International Conference on Software Quality, 2023

An Empirical Study on Concurrency Bugs in Interrupt-Driven Embedded Software.
Proceedings of the 32nd ACM SIGSOFT International Symposium on Software Testing and Analysis, 2023

A 22nm Delta-Sigma Computing-In-Memory (Δ∑CIM) SRAM Macro with Near-Zero-Mean Outputs and LSB-First ADCs Achieving 21.38TOPS/W for 8b-MAC Edge AI Processing.
Proceedings of the IEEE International Solid- State Circuits Conference, 2023

An Information-Aware Adaptive Data Acquisition System using Level-Crossing ADC with Signal-Dependent Full Scale and Adaptive Resolution for IoT Applications.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2023

Noisy-to-Clean Label Learning for Medical Image Segmentation.
Proceedings of the IEEE International Conference on Multimedia and Expo, 2023

First Foundry Platform Demonstration of Hybrid Tunnel FET and MOSFET Circuits Based on a Novel Laminated Well Isolation Technology.
Proceedings of the 53rd IEEE European Solid-State Device Research Conference, 2023

A A 22nm 0.43pJ/SOP Sparsity-Aware In-Memory Neuromorphic Computing System with Hybrid Spiking and Artificial Neural Network and Configurable Topology.
Proceedings of the IEEE Custom Integrated Circuits Conference, 2023

A Novel TFET-MOSFET Hybrid SRAM for Ultra-Low-Power Applications.
Proceedings of the 15th IEEE International Conference on ASIC, 2023

2022
Reconstruction of High-Resolution Sea Surface Salinity over 2003-2020 in the South China Sea Using the Machine Learning Algorithm LightGBM Model.
Remote. Sens., December, 2022

An Adaptive Rainfall Estimation Algorithm for Dual-Polarization Radar.
IEEE Geosci. Remote. Sens. Lett., 2022

Inverse of Nanopore Ion Selectivity Due to Transport-Induced-Charge Phenomena.
Proceedings of the 17th IEEE International Conference on Nano/Micro Engineered and Molecular Systems, 2022

Transport-Induced-Charge Distribution Near the Entrance of an Ultrathin Nanopore.
Proceedings of the 17th IEEE International Conference on Nano/Micro Engineered and Molecular Systems, 2022

An 82nW 0.53pJ/SOP Clock-Free Spiking Neural Network with 40µs Latency for AloT Wake-Up Functions Using Ultimate-Event-Driven Bionic Architecture and Computing-in-Memory Technique.
Proceedings of the IEEE International Solid-State Circuits Conference, 2022

2021
The Challenges and Emerging Technologies for Low-Power Artificial Intelligence IoT Systems.
IEEE Trans. Circuits Syst. I Regul. Pap., 2021

Re-Assessment of Steep-Slope Device Design From a Circuit-Level Perspective Using Novel Evaluation Criteria and Model-Less Method.
IEEE Trans. Circuits Syst. I Regul. Pap., 2021

Ultra-Low-Power and Performance-Improved Logic Circuit Using Hybrid TFET-MOSFET Standard Cells Topologies and Optimized Digital Front-End Process.
IEEE Trans. Circuits Syst. I Regul. Pap., 2021

A Software-Defined Always-On System With 57-75-nW Wake-Up Function Using Asynchronous Clock-Free Pipelined Event-Driven Architecture and Time-Shielding Level-Crossing ADC.
IEEE J. Solid State Circuits, 2021

A 148-nW Reconfigurable Event-Driven Intelligent Wake-Up System for AIoT Nodes Using an Asynchronous Pulse-Based Feature Extractor and a Convolutional Neural Network.
IEEE J. Solid State Circuits, 2021

Adaptive Inclined Text Detection in Natural Scenes Fusing Convolutional Recurrent Neural Network.
Proceedings of the International Conference on UK-China Emerging Technologies, 2021

12.1 A 148nW General-Purpose Event-Driven Intelligent Wake-Up Chip for AIoT Devices Using Asynchronous Spike-Based Feature Extractor and Convolutional Neural Network.
Proceedings of the IEEE International Solid-State Circuits Conference, 2021

2020
20.2 A 57nW Software-Defined Always-On Wake-Up Chip for IoT Devices with Asynchronous Pipelined Event-Driven Architecture and Time-Shielding Level-Crossing ADC.
Proceedings of the 2020 IEEE International Solid- State Circuits Conference, 2020

2019
基于RFID标签的防碰撞算法改进 (Improvement of Anti-collision Algorithm Based on RFID Tag).
计算机科学, 2019

Ultra-Low Power Hybrid TFET-MOSFET Topologies for Standard Logic Cells with Improved Comprehensive Performance.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2019

2018
Optimal route selection based on Monte Carlo method and adaptive amoeba algorithm under uncertain environment.
Int. J. Parallel Emergent Distributed Syst., 2018

Combinational Access Tunnel FET SRAM for Ultra-Low Power Applications.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2018


  Loading...