Anand Rajaram

According to our database1, Anand Rajaram authored at least 16 papers between 2004 and 2023.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2023
BufFormer: A Generative ML Framework for Scalable Buffering.
Proceedings of the 28th Asia and South Pacific Design Automation Conference, 2023

2011
Robust Chip-Level Clock Tree Synthesis.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2011

2010
MeshWorks: A Comprehensive Framework for Optimized Clock Mesh Network Synthesis.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2010

2009
Analysis and optimization of NBTI induced clock skew in gated clock trees.
Proceedings of the Design, Automation and Test in Europe, 2009

2008
Practical Clock Tree Robustness Signoff Metrics.
Proceedings of the 9th International Symposium on Quality of Electronic Design (ISQED 2008), 2008

Robust chip-level clock tree synthesis for SOC designs.
Proceedings of the 45th Design Automation Conference, 2008

MeshWorks: An efficient framework for planning, synthesis and optimization of clock mesh networks.
Proceedings of the 13th Asia South Pacific Design Automation Conference, 2008

2007

Sensitivity Based Link Insertion for Variation Tolerant Clock Network Synthesis.
Proceedings of the 8th International Symposium on Quality of Electronic Design (ISQED 2007), 2007

2006
Analytical bound for unwanted clock skew due to wire width variation.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2006

Reducing clock skew variability via crosslinks.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2006

Fast Incremental Link Insertion in Clock Networks for Skew Variability Reduction.
Proceedings of the 7th International Symposium on Quality of Electronic Design (ISQED 2006), 2006

Variation tolerant buffered clock network synthesis with cross links.
Proceedings of the 2006 International Symposium on Physical Design, 2006

2005
Improved algorithms for link-based non-tree clock networks for skew variability reduction.
Proceedings of the 2005 International Symposium on Physical Design, 2005

Practical techniques to reduce skew and its variations in buffered clock networks.
Proceedings of the 2005 International Conference on Computer-Aided Design, 2005

2004
Reducing clock skew variability via cross links.
Proceedings of the 41th Design Automation Conference, 2004


  Loading...