Sunil P. Khatri

Orcid: 0000-0001-7134-9929

According to our database1, Sunil P. Khatri authored at least 196 papers between 1996 and 2024.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2024
A Mixed-Signal Quantized Neural Network Accelerator Using Flash Transistors.
IEEE Trans. Circuits Syst. I Regul. Pap., March, 2024

2023
A Digital Low Dropout (LDO) Voltage Regulator Using Pseudoflash Transistors.
IEEE Trans. Very Large Scale Integr. Syst., December, 2023

A Novel Pseudo-Flash Based Digital Low Dropout (LDO) Voltage Regulator.
Proceedings of the 24th International Symposium on Quality Electronic Design, 2023

Scaled Population Division for Approximate Computing.
Proceedings of the IEEE/ACM International Symposium on Low Power Electronics and Design, 2023

An Extremely Low-voltage Floating Gate Artificial Neuron.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2023

A Hardware Validation Framework for a Networked Dynamic Multi-factor Security Protocol.
Proceedings of the 6th International Conference on Advanced Communication Technologies and Networking, 2023

2022
A Novel ASIC Design Flow Using Weight-Tunable Binary Neurons as Standard Cells.
IEEE Trans. Circuits Syst. I Regul. Pap., 2022

A Flash-based Digital to Analog Converter for Low Power Applications.
Proceedings of the IEEE 40th International Conference on Computer Design, 2022

TD3lite: FPGA Acceleration of Reinforcement Learning with Structural and Representation Optimizations.
Proceedings of the 32nd International Conference on Field-Programmable Logic and Applications, 2022

A Flash-based Current-mode IC to Realize Quantized Neural Networks.
Proceedings of the 2022 Design, Automation & Test in Europe Conference & Exhibition, 2022

2021
Hardware Acceleration of Hash Operations in Modern Microprocessors.
IEEE Trans. Computers, 2021

CIDAN: Computing in DRAM with Artificial Neurons.
Proceedings of the 39th IEEE International Conference on Computer Design, 2021

NIST-Lite: Randomness Testing of RNGs on an Energy-Constrained Platform.
Proceedings of the 39th IEEE International Conference on Computer Design, 2021

2020
A Statistical Methodology for Post-Fabrication Weight Tuning in a Binary Perceptron.
Proceedings of the 21st International Symposium on Quality Electronic Design, 2020

A Mathematical Framework for Exploring Protein Folding Dynamics using Probabilistic Model Checking.
Proceedings of the 3rd International Conference on Information and Computer Technologies, 2020

A Configurable BNN ASIC using a Network of Programmable Threshold Logic Standard Cells.
Proceedings of the 38th IEEE International Conference on Computer Design, 2020

Scaled Population Subtraction for Approximate Computing.
Proceedings of the 38th IEEE International Conference on Computer Design, 2020

Scaled Population Arithmetic for Efficient Stochastic Computing.
Proceedings of the 25th Asia and South Pacific Design Automation Conference, 2020

2019
Fast, Ring-Based Design of 3-D Stacked DRAM.
IEEE Trans. Very Large Scale Integr. Syst., 2019

Threshold Logic in a Flash.
Proceedings of the 37th IEEE International Conference on Computer Design, 2019

A Memory-Efficient Markov Decision Process Computation Framework Using BDD-based Sampling Representation.
Proceedings of the 56th Annual Design Automation Conference 2019, 2019

2018
Comparing Leakage Reduction Techniques for an Asynchronous Network-on-Chip Router.
J. Low Power Electron., 2018

A GPU-CPU heterogeneous algorithm for NGS read alignment.
Int. J. Comput. Biol. Drug Des., 2018

Synchronization of Ring-Based Resonant Standing Wave Oscillators for 3D Clocking Applications.
Proceedings of the 36th IEEE International Conference on Computer Design, 2018

A Plain-Text Incremental Compression (PIC) Technique with Fast Lookup Ability.
Proceedings of the 36th IEEE International Conference on Computer Design, 2018

A Homomorphic Encryption Scheme Based on Affine Transforms.
Proceedings of the 2018 on Great Lakes Symposium on VLSI, 2018

2017
A Survey of Software and Hardware Approaches to Performing Read Alignment in Next Generation Sequencing.
IEEE ACM Trans. Comput. Biol. Bioinform., 2017

An FPGA-Based Coprocessor for Hash Unit Acceleration.
Proceedings of the 2017 IEEE International Conference on Computer Design, 2017

Fast, Ring-Based Design of 3D Stacked DRAM.
Proceedings of the 2017 IEEE International Conference on Computer Design, 2017

A Robust C-element Design with Enhanced Metastability Performance.
Proceedings of the on Great Lakes Symposium on VLSI 2017, 2017

Circuit Level Design of a Hardware Hash Unit for use in Modern Microprocessors.
Proceedings of the on Great Lakes Symposium on VLSI 2017, 2017

Design of a Flash-based Circuit for Multi-valued Logic.
Proceedings of the on Great Lakes Symposium on VLSI 2017, 2017

Fast and Highly Scalable Bayesian MDP on a GPU Platform.
Proceedings of the 8th ACM International Conference on Bioinformatics, 2017

2016
FTCAM: An Area-Efficient Flash-Based Ternary CAM Design.
IEEE Trans. Computers, 2016

Response to "Comment on 'Zero and negative energy dissipation at information-theoretic erasure'".
CoRR, 2016

A Ternary-Valued, Floating Gate Transistor-Based Circuit Design Approach.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2016

A novel hardware hash unit design for modern microprocessors.
Proceedings of the 34th IEEE International Conference on Computer Design, 2016

Exploring static and dynamic flash-based FPGA design topologies.
Proceedings of the 34th IEEE International Conference on Computer Design, 2016

Implementing low power digital circuits using flash devices.
Proceedings of the 34th IEEE International Conference on Computer Design, 2016

A flash-based digital circuit design flow.
Proceedings of the 35th International Conference on Computer-Aided Design, 2016

A GPU-based implementation of a sensor tasking methodology.
Proceedings of the 19th International Conference on Information Fusion, 2016

A practical methodology to validate the statistical behavior of bloom filters.
Proceedings of the Eleventh IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis, 2016

GPU acceleration for Bayesian control of Markovian genetic regulatory networks.
Proceedings of the 2016 IEEE-EMBS International Conference on Biomedical and Health Informatics, 2016

2015
Zero and negative energy dissipation at information-theoretic erasure.
CoRR, 2015

Exploring the viability of stochastic computing.
Proceedings of the 33rd IEEE International Conference on Computer Design, 2015

An Efficient Approach to Sample On-Chip Power Supplies.
Proceedings of the 25th edition on Great Lakes Symposium on VLSI, GLVLSI 2015, Pittsburgh, PA, USA, May 20, 2015

Delay, Power and Energy Tradeoffs in Deep Voltage-scaled FPGAs.
Proceedings of the 25th edition on Great Lakes Symposium on VLSI, GLVLSI 2015, Pittsburgh, PA, USA, May 20, 2015

2014
Techniques to Improve the Efficiency of SAT Based Path Delay Test Generation.
Proceedings of the 2014 27th International Conference on VLSI Design, 2014

An area-efficient Ternary CAM design using floating gate transistors.
Proceedings of the 32nd IEEE International Conference on Computer Design, 2014

An asynchronous Network-on-Chip router with low standby power.
Proceedings of the 32nd IEEE International Conference on Computer Design, 2014

A comparison of FinFET based FPGA LUT designs.
Proceedings of the Great Lakes Symposium on VLSI 2014, GLSVLSI '14, Houston, TX, USA - May 21, 2014

FPGA LUT design for wide-band dynamic voltage and frequency scaled operation (abstract only).
Proceedings of the 2014 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, 2014

Look-up Table Design for Deep Sub-threshold through Full-Supply Operation.
Proceedings of the 22nd IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2014

2013
Using GPUs to Accelerate CAD Algorithms.
IEEE Des. Test, 2013

A low-jitter phase-locked resonant clock generation and distribution scheme.
Proceedings of the 2013 IEEE 31st International Conference on Computer Design, 2013

Noise-based algorithms for functional equivalence and tautology checking.
Proceedings of the 2013 IEEE 31st International Conference on Computer Design, 2013

A source-synchronous Htree-based network-on-chip.
Proceedings of the Great Lakes Symposium on VLSI 2013 (part of ECRC), 2013

Architecture and 3D device simulation of a PIN diode-based Gamma radiation detector.
Proceedings of the Great Lakes Symposium on VLSI 2013 (part of ECRC), 2013

GPU implementation of a scalable non-linear congruential generator for cryptography applications.
Proceedings of the Great Lakes Symposium on VLSI 2013 (part of ECRC), 2013

Mixed structural-functional path delay test generation and compaction.
Proceedings of the 2013 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2013

Exploring topologies for source-synchronous ring-based network-on-chip.
Proceedings of the Design, Automation and Test in Europe, 2013

Crosstalk avoidance codes for 3D VLSI.
Proceedings of the Design, Automation and Test in Europe, 2013

2012
On Optimal and Achievable Fix-Free Codes.
IEEE Trans. Inf. Theory, 2012

Timing aware partitioning for multi-FPGA based logic simulation using top-down selective hierarchy flattening.
Proceedings of the 30th International IEEE Conference on Computer Design, 2012

Architectural simulations of a fast, source-synchronous ring-based Network-on-Chip design.
Proceedings of the 30th International IEEE Conference on Computer Design, 2012

An efficient arithmetic Sum-of-Product (SOP) based multiplication approach for FIR filters and DFT.
Proceedings of the 30th International IEEE Conference on Computer Design, 2012

Alleviating NBTI-induced failure in off-chip output drivers.
Proceedings of the Great Lakes Symposium on VLSI 2012, 2012

Determining gene function in boolean networks using boolean satisfiability.
Proceedings of the Proceedings 2012 IEEE International Workshop on Genomic Signal Processing and Statistics, 2012

A fast, source-synchronous ring-based network-on-chip design.
Proceedings of the 2012 Design, Automation & Test in Europe Conference & Exhibition, 2012

Boolean satisfiability using noise based logic.
Proceedings of the 49th Annual Design Automation Conference 2012, 2012

Application of logic synthesis to the understanding and cure of genetic diseases.
Proceedings of the 49th Annual Design Automation Conference 2012, 2012

2011
A DCVSL Delay Cell for Fast Low Power Frequency Synthesis Applications.
IEEE Trans. Circuits Syst. I Regul. Pap., 2011

Noise-based Deterministic Logic and Computing: a Brief Survey.
Int. J. Unconv. Comput., 2011

Noise-based information processing: Noise-based logic and computing: what do we have so far?
CoRR, 2011

Interconnected Tile Standing Wave Resonant Oscillator Based Clock Distribution Circuits.
Proceedings of the VLSI Design 2011: 24th International Conference on VLSI Design, 2011

An Automated Approach for Minimum Jitter Buffered H-Tree Construction.
Proceedings of the VLSI Design 2011: 24th International Conference on VLSI Design, 2011

A novel cryptographic key exchange scheme using resistors.
Proceedings of the IEEE 29th International Conference on Computer Design, 2011

Efficient cancer therapy using Boolean networks and Max-SAT-based ATPG.
Proceedings of the 2011 IEEE International Workshop on Genomic Signal Processing and Statistics, 2011

2010
A Simultaneous Input Vector Control and Circuit Modification Technique to Reduce Leakage with Zero Delay Penalty.
ACM Trans. Design Autom. Electr. Syst., 2010

Fault Table Computation on GPUs.
J. Electron. Test., 2010

Computation using Noise-based Logic: Efficient String Verification over a Slow Communication Channel
CoRR, 2010

Instantaneous noise-based logic
CoRR, 2010

Towards brain-inspired computing
CoRR, 2010

An efficient pulse flip-flop based launch-on-shift scan cell.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2010), May 30, 2010

Efficient arithmetic sum-of-product (SOP) based Multiple Constant Multiplication (MCM) for FFT.
Proceedings of the 2010 International Conference on Computer-Aided Design, 2010

VLSI implementation of a non-linear feedback shift register for high-speed cryptography applications.
Proceedings of the 20th ACM Great Lakes Symposium on VLSI 2009, 2010

Boolean satisfiability on a graphics processor.
Proceedings of the 20th ACM Great Lakes Symposium on VLSI 2009, 2010

Inference of gene predictor set using Boolean satisfiability.
Proceedings of the 2010 IEEE International Workshop on Genomic Signal Processing and Statistics, 2010

A SAT-Based Scheme to Determine Optimal Fix-Free Codes.
Proceedings of the 2010 Data Compression Conference (DCC 2010), 2010

Implementing digital logic with sinusoidal supplies.
Proceedings of the Design, Automation and Test in Europe, 2010

2009
A Fast Hardware Approach for Approximate, Efficient Logarithm and Antilogarithm Computations.
IEEE Trans. Very Large Scale Integr. Syst., 2009

Circuit-Level Design Approaches for Radiation-Hard Digital Electronics.
IEEE Trans. Very Large Scale Integr. Syst., 2009

Efficient On-Chip Crosstalk Avoidance CODEC Design.
IEEE Trans. Very Large Scale Integr. Syst., 2009

FPGA-based hardware acceleration for Boolean satisfiability.
ACM Trans. Design Autom. Electr. Syst., 2009

Encoding Serial Graphical Data for Energy-Delay Product/Energy Minimization.
J. Low Power Electron., 2009

Selective Forward Body Bias for High Speed and Low Power SRAMs.
J. Low Power Electron., 2009

Noise-Based Logic and Computing: From Boolean Logic Gates to Brain Circuitry and Its Possible Hardware Realization.
Proceedings of the Natural Computing - 4th International Workshop on Natural Computing, 2009

Design and implementation of a sub-threshold BFSK transmitter.
Proceedings of the 10th International Symposium on Quality of Electronic Design (ISQED 2009), 2009

SEU hardened clock regeneration circuits.
Proceedings of the 10th International Symposium on Quality of Electronic Design (ISQED 2009), 2009

Sorting Binary Numbers in Hardware - A Novel Algorithm and its Implementation.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2009), 2009

A radiation tolerant Phase Locked Loop design for digital electronics.
Proceedings of the 27th International Conference on Computer Design, 2009

A robust pulsed flip-flop and its use in enhanced scan design.
Proceedings of the 27th International Conference on Computer Design, 2009

A PLL design based on a standing wave resonant oscillator.
Proceedings of the 27th International Conference on Computer Design, 2009

3D simulation and analysis of the radiation tolerance of voltage scaled digital circuit.
Proceedings of the 27th International Conference on Computer Design, 2009

On-chip bidirectional wiring for heavily pipelined systems using network coding.
Proceedings of the 27th International Conference on Computer Design, 2009

Introduction to GPU programming for EDA.
Proceedings of the 2009 International Conference on Computer-Aided Design, 2009

Fault table generation using Graphics Processing Units.
Proceedings of the IEEE International High Level Design Validation and Test Workshop, 2009

Robust window-based multi-node technology-independent logic minimization.
Proceedings of the 19th ACM Great Lakes Symposium on VLSI 2009, 2009

Low power and high performance sram design using bank-based selective forward body bias.
Proceedings of the 19th ACM Great Lakes Symposium on VLSI 2009, 2009

Closed-loop modeling of power and temperature profiles of FPGAs.
Proceedings of the ACM/SIGDA 17th International Symposium on Field Programmable Gate Arrays, 2009

Accelerating statistical static timing analysis using graphics processing units.
Proceedings of the 14th Asia South Pacific Design Automation Conference, 2009

Fast circuit simulation on graphics processing units.
Proceedings of the 14th Asia South Pacific Design Automation Conference, 2009

Efficient analytical determination of the SEU-induced pulse shape.
Proceedings of the 14th Asia South Pacific Design Automation Conference, 2009

Highly parallel decoding of space-time codes on graphics processing units.
Proceedings of the 47th Annual Allerton Conference on Communication, 2009

2008
Dynamically De-Skewable Clock Distribution Methodology.
IEEE Trans. Very Large Scale Integr. Syst., 2008

A Novel Hybrid Parallel-Prefix Adder Architecture With Efficient Timing-Area Characteristic.
IEEE Trans. Very Large Scale Integr. Syst., 2008

SAT-based ATPG using multilevel compatible don't-cares.
ACM Trans. Design Autom. Electr. Syst., 2008

Resource sharing among mutually exclusive sum-of-product blocks for area reduction.
ACM Trans. Design Autom. Electr. Syst., 2008

A Timing-Driven Approach to Synthesize Fast Barrel Shifters.
IEEE Trans. Circuits Syst. II Express Briefs, 2008

A probabilistic method to determine the minimum leakage vector for combinational designs in the presence of random PVT variations.
Integr., 2008

Efficient, scalable hardware engine for Boolean satisfiability and unsatisfiable core extraction.
IET Comput. Digit. Tech., 2008

An Inversion-Based Synthesis Approach for Area and Power Efficient Arithmetic Sum-of-Products.
Proceedings of the 21st International Conference on VLSI Design (VLSI Design 2008), 2008

A Timing-Driven Synthesis Technique for Arithmetic Product-of-Sum Expressions.
Proceedings of the 21st International Conference on VLSI Design (VLSI Design 2008), 2008

A Merged Synthesis Technique for Fast Arithmetic Blocks Involving Sum-of-Products and Shifters.
Proceedings of the 21st International Conference on VLSI Design (VLSI Design 2008), 2008

Modeling dynamic stability of SRAMS in the presence of single event upsets (SEUs).
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2008), 2008

A novel, highly SEU tolerant digital circuit design approach.
Proceedings of the 26th International Conference on Computer Design, 2008

A robust, fast pulsed flip-flop design.
Proceedings of the 18th ACM Great Lakes Symposium on VLSI 2008, 2008

Pipelined network of PLA based circuit design.
Proceedings of the 18th ACM Great Lakes Symposium on VLSI 2008, 2008

Improving FPGA routability using network coding.
Proceedings of the 18th ACM Great Lakes Symposium on VLSI 2008, 2008

A lithography-friendly structured ASIC design approach.
Proceedings of the 18th ACM Great Lakes Symposium on VLSI 2008, 2008

A Delay-efficient Radiation-hard Digital Design Approach Using CWSP Elements.
Proceedings of the Design, Automation and Test in Europe, 2008

A Single-supply True Voltage Level Shifter.
Proceedings of the Design, Automation and Test in Europe, 2008

Energy Efficient and High Speed On-Chip Ternary Bus.
Proceedings of the Design, Automation and Test in Europe, 2008

Clock Distribution Scheme using Coplanar Transmission Lines.
Proceedings of the Design, Automation and Test in Europe, 2008

Towards acceleration of fault simulation using graphics processing units.
Proceedings of the 45th Design Automation Conference, 2008

A fast, analytical estimator for the SEU-induced pulse width in combinational designs.
Proceedings of the 45th Design Automation Conference, 2008

Forbidden transition free crosstalk avoidance CODEC design.
Proceedings of the 45th Design Automation Conference, 2008

2007
High-throughput VLSI Implementations of Iterative Decoders and Related Code Construction Problems.
J. VLSI Signal Process., 2007

A Predictably Low-Leakage ASIC Design Style.
IEEE Trans. Very Large Scale Integr. Syst., 2007

A methodology for interconnect dimension determination.
Proceedings of the 2007 International Symposium on Physical Design, 2007

A Structured ASIC Design Approach Using Pass Transistor Logic.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2007), 2007

Toggle Equivalence Preserving (TEP) Logic Optimization.
Proceedings of the Tenth Euromicro Conference on Digital System Design: Architectures, 2007

An algorithm to minimize leakage through simultaneous input vector control and circuit modification.
Proceedings of the 2007 Design, Automation and Test in Europe Conference and Exposition, 2007

2006
Efficient don't care computation for hierarchical designs.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2006), 2006

A probabilistic method to determine the minimum leakage vector for combinational designs.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2006), 2006

Generalized buffering of PTL logic stages using Boolean division.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2006), 2006

Computing during supply voltage switching in DVS enabled real-time processors.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2006), 2006

Memory-based crosstalk canceling CODECs for on-chip buses.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2006), 2006

An Efficient, Scalable Hardware Engine for Boolean SATisfiability.
Proceedings of the 24th International Conference on Computer Design (ICCD 2006), 2006

CMOS Comparators for High-Speed and Low-Power Applications.
Proceedings of the 24th International Conference on Computer Design (ICCD 2006), 2006

On the Improvement of Statistical Static Timing Analysis.
Proceedings of the 24th International Conference on Computer Design (ICCD 2006), 2006

Network coding for routability improvement in VLSI.
Proceedings of the 2006 International Conference on Computer-Aided Design, 2006

A High-Speed Fully-Programmable VLSI Decoder for Regular LDPC Codes.
Proceedings of the 2006 IEEE International Conference on Acoustics Speech and Signal Processing, 2006

Implementation of MOSFET based capacitors for digital applications.
Proceedings of the 16th ACM Great Lakes Symposium on VLSI 2006, Philadelphia, PA, USA, April 30, 2006

A design flow to optimize circuit delay by using standard cells and PLAs.
Proceedings of the 16th ACM Great Lakes Symposium on VLSI 2006, Philadelphia, PA, USA, April 30, 2006

Resource and delay efficient matrix multiplication using newer FPGA devices.
Proceedings of the 16th ACM Great Lakes Symposium on VLSI 2006, Philadelphia, PA, USA, April 30, 2006

Bus stuttering: an encoding technique to reduce inductive noise in off-chip data transmission.
Proceedings of the Conference on Design, Automation and Test in Europe, 2006

A PLA based asynchronous micropipelining approach for subthreshold circuit design.
Proceedings of the 43rd Design Automation Conference, 2006

A design approach for radiation-hard digital electronics.
Proceedings of the 43rd Design Automation Conference, 2006

Controlling inductive cross-talk and power in off-chip buses using CODECs.
Proceedings of the 2006 Conference on Asia South Pacific Design Automation: ASP-DAC 2006, 2006

2005
Non-Manhattan Routing Using a Manhattan Router.
Proceedings of the 18th International Conference on VLSI Design (VLSI Design 2005), 2005

Efficient SAT-based combinational ATPG using multi-level don't-cares.
Proceedings of the Proceedings 2005 IEEE International Test Conference, 2005

An algebraic decision diagram (ADD) based technique to find leakage histograms of combinational designs.
Proceedings of the 2005 International Symposium on Low Power Electronics and Design, 2005

Performance model for inter-chip communication considering inductive cross-talk and cost.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2005), 2005

Broadband Impedance Matching for Inductive Interconnect in VLSI Packages.
Proceedings of the 23rd International Conference on Computer Design (ICCD 2005), 2005

Minimum Energy Near-threshold Network of PLA based Design.
Proceedings of the 23rd International Conference on Computer Design (ICCD 2005), 2005

X-Routing using Two Manhattan Route Instances.
Proceedings of the 23rd International Conference on Computer Design (ICCD 2005), 2005

Practical techniques to reduce skew and its variations in buffered clock networks.
Proceedings of the 2005 International Conference on Computer-Aided Design, 2005

A Boolean satisfiability based solution to the routing and wavelength assignment problem in optical telecommunication networks.
Proceedings of IEEE International Conference on Communications, 2005

Encoding-Based Minimization of Inductive Cross-Talk for Off-Chip Data Transmission.
Proceedings of the 2005 Design, 2005

A variation tolerant subthreshold design approach.
Proceedings of the 42nd Design Automation Conference, 2005

A self-adjusting scheme to determine the optimum RBB by monitoring leakage currents.
Proceedings of the 42nd Design Automation Conference, 2005

A dynamic voltage scaling algorithm for energy reduction in hard real-time systems.
Proceedings of the 2005 Conference on Asia South Pacific Design Automation, 2005

2004
SPFD-based wire removal in standard-cell and network-of-PLA circuits.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2004

A novel clock distribution and dynamic de-skewing methodology.
Proceedings of the 2004 International Conference on Computer-Aided Design, 2004

A metal and via maskset programmable VLSI design methodology using PLAs.
Proceedings of the 2004 International Conference on Computer-Aided Design, 2004

High-throughput VLSI implementations of iterative decoders and related code construction problems.
Proceedings of the Global Telecommunications Conference, 2004. GLOBECOM '04, Dallas, Texas, USA, 29 November, 2004

Exploiting Crosstalk to Speed up On-Chip Buse.
Proceedings of the 2004 Design, 2004

A robust algorithm for approximate compatible observability don't care (CODC) computation.
Proceedings of the 41th Design Automation Conference, 2004

2003
An ASIC design methodology with predictably low leakage, using leakage-immune standard cells.
Proceedings of the 2003 International Symposium on Low Power Electronics and Design, 2003

IP routing table compression using ESPRESSO-MV.
Proceedings of the 11th IEEE International Conference on Networks, 2003

A fast ternary CAM design for IP networking applications.
Proceedings of the 12th International Conference on Computer Communications and Networks, 2003

2002
An efficient and regular routing methodology for datapath designsusing net regularity extraction.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2002

2001
A regularity-driven fast gridless detailed router for high frequency datapath designs.
Proceedings of the 2001 International Symposium on Physical Design, 2001

Addressing the Timing Closure Problem by Integrating Logic Optimization and Placement.
Proceedings of the 2001 IEEE/ACM International Conference on Computer-Aided Design, 2001

Analysis and avoidance of cross-talk in on-chip buses.
Proceedings of the Ninth Symposium on High Performance Interconnects, 2001

2000
Binary and Multi-Valued SPFD-Based Wire Removal in PLA Networks.
Proceedings of the IEEE International Conference On Computer Design: VLSI In Computers & Processors, 2000

Cross-Talk Immune VLSI Design Using a Network of PLAs Embedded in a Regular Layout Fabric.
Proceedings of the 2000 IEEE/ACM International Conference on Computer-Aided Design, 2000

1999
Sequential Multi-Valued Network Simplification using Redundancy Removal.
Proceedings of the 12th International Conference on VLSI Design (VLSI Design 1999), 1999

Multi-Valued Logic Synthesis.
Proceedings of the 12th International Conference on VLSI Design (VLSI Design 1999), 1999

A Novel VLSI Layout Fabric for Deep Sub-Micron Applications.
Proceedings of the 36th Conference on Design Automation, 1999

1996
A study of composition schemes for mixed apply/compose based construction of ROBDDs.
Proceedings of the 9th International Conference on VLSI Design (VLSI Design 1996), 1996

Decomposition Techniques for Efficient ROBDD Construction.
Proceedings of the Formal Methods in Computer-Aided Design, First International Conference, 1996


Engineering Change in a Non-Deterministic FSM Setting.
Proceedings of the 33st Conference on Design Automation, 1996



  Loading...