Dongning Ma

Orcid: 0000-0002-1879-4406

According to our database1, Dongning Ma authored at least 31 papers between 2019 and 2024.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2024
Dr. DNA: Combating Silent Data Corruptions in Deep Learning using Distribution of Neuron Activations.
Proceedings of the 29th ACM International Conference on Architectural Support for Programming Languages and Operating Systems, 2024

2023
Testing and Enhancing Adversarial Robustness of Hyperdimensional Computing.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., November, 2023

EnHDC: Ensemble Learning for Brain-Inspired Hyperdimensional Computing.
IEEE Embed. Syst. Lett., March, 2023

Evaluating and Enhancing Robustness of Deep Recommendation Systems Against Hardware Errors.
CoRR, 2023

On Hyperdimensional Computing-based Federated Learning: A Case Study.
Proceedings of the International Joint Conference on Neural Networks, 2023

PerfHD: Efficient ViT Architecture Performance Ranking using Hyperdimensional Computing.
Proceedings of the IEEE/CVF Conference on Computer Vision and Pattern Recognition, 2023

Robust Hyperdimensional Computing against Cyber Attacks and Hardware Errors: A Survey.
Proceedings of the 28th Asia and South Pacific Design Automation Conference, 2023

2022
DEVoT: Dynamic Delay Modeling of Functional Units Under Voltage and Temperature Variations.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

NasHD: Efficient ViT Architecture Performance Ranking using Hyperdimensional Computing.
CoRR, 2022

Hyperdimensional Computing vs. Neural Networks: Comparing Architecture and Learning Process.
CoRR, 2022

HDCoin: A Proof-of-Useful-Work Based Blockchain for Hyperdimensional Computing.
CoRR, 2022

AxBy-ViT: Reconfigurable Approximate Computation Bypass for Vision Transformers.
Proceedings of the 23rd International Symposium on Quality Electronic Design, 2022

Energy-Efficient Brain-Inspired Hyperdimensional Computing Using Voltage Scaling.
Proceedings of the 2022 Design, Automation & Test in Europe Conference & Exhibition, 2022

Brain-Inspired Hyperdimensional Computing for Ultra-Efficient Edge AI.
Proceedings of the International Conference on Hardware/Software Codesign and System Synthesis, 2022

MoleHD: Efficient Drug Discovery using Brain Inspired Hyperdimensional Computing.
Proceedings of the IEEE International Conference on Bioinformatics and Biomedicine, 2022

2021
MoleHD: Automated Drug Discovery using Brain-Inspired Hyperdimensional Computing.
CoRR, 2021

Machine Learning-based Classification of Active Walking Tasks in Older Adults using fNIRS.
CoRR, 2021

HDXplore: Automated Blackbox Testing of Brain-Inspired Hyperdimensional Computing.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2021

SpamHD: Memory-Efficient Text Spam Detection using Brain-Inspired Hyperdimensional Computing.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2021

Workload-Aware Approximate Computing Configuration.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2021

HDTest: Differential Fuzz Testing of Brain-Inspired Hyperdimensional Computing.
Proceedings of the 58th ACM/IEEE Design Automation Conference, 2021

2020
LEVAX: An Input-Aware Learning-Based Error Model of Voltage-Scaled Functional Units.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020

WoMA: An Input-Based Learning Model to Predict Dynamic Workload of Embedded Applications.
IEEE Embed. Syst. Lett., 2020

MiabNET: Message-in-a-bottle Protocol for MANET.
CoRR, 2020

AxR-NN: Approximate Computation Reuse for Energy-Efficient Convolutional Neural Networks.
Proceedings of the GLSVLSI '20: Great Lakes Symposium on VLSI 2020, 2020

A Machine Learning-Based Error Model of Voltage-Scaled Circuits.
Proceedings of the 50th Annual IEEE-IFIP International Conference on Dependable Systems and Networks, 2020

AxBy: Approximate Computation Bypass for Data-Intensive Applications.
Proceedings of the 23rd Euromicro Conference on Digital System Design, 2020

TEVoT: Timing Error Modeling of Functional Units under Dynamic Voltage and Temperature Variations.
Proceedings of the 57th ACM/IEEE Design Automation Conference, 2020

2019
Detecting and Bypassing Trivial Computations in Convolutional Neural Networks.
Proceedings of the IEEE/ACM International Symposium on Nanoscale Architectures, 2019

Energy Efficient GPU Applications Through Computation Skip.
Proceedings of the 15th IEEE International Conference on Embedded Software and Systems, 2019

DeVos: A learning-based delay model of voltage-scaled circuits: work-in-progress.
Proceedings of the International Conference on Hardware/Software Codesign and System Synthesis Companion, 2019


  Loading...