Xunzhao Yin

Orcid: 0000-0003-4656-9545

According to our database1, Xunzhao Yin authored at least 87 papers between 2016 and 2024.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2024
A Survey on Approximate Multiplier Designs for Energy Efficiency: From Algorithms to Circuits.
ACM Trans. Design Autom. Electr. Syst., January, 2024

EncodingNet: A Novel Encoding-based MAC Design for Efficient Neural Network Acceleration.
CoRR, 2024

FeReX: A Reconfigurable Design of Multi-bit Ferroelectric Compute-in-Memory for Nearest Neighbor Search.
CoRR, 2024

2023
FeFET-Based In-Memory Hyperdimensional Encoding Design.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., November, 2023

Breaking the energy-efficiency barriers for smart sensing applications with "Sensing with Computing" architectures.
Sci. China Inf. Sci., October, 2023

Worst-case Power Integrity Prediction Using Convolutional Neural Network.
ACM Trans. Design Autom. Electr. Syst., July, 2023

BRoCoM: A Bayesian Framework for Robust Computing on Memristor Crossbar.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., July, 2023

An Ultracompact Single-Ferroelectric Field-Effect Transistor Binary and Multibit Associative Search Engine.
Adv. Intell. Syst., July, 2023

Design of Ultracompact Content Addressable Memory Exploiting 1T-1MTJ Cell.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., May, 2023

Ferroelectric Ternary Content Addressable Memories for Energy-Efficient Associative Search.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., April, 2023

LMM: A Fixed-Point Linear Mapping Based Approximate Multiplier for IoT.
J. Comput. Sci. Technol., April, 2023

A DVFS Design and Simulation Framework Using Machine Learning Models.
IEEE Des. Test, February, 2023

A Reconfigurable FeFET Content Addressable Memory for Multi-State Hamming Distance.
IEEE Trans. Circuits Syst. I Regul. Pap., 2023

Reconfigurable Frequency Multipliers Based on Complementary Ferroelectric Transistors.
CoRR, 2023

Low Power and Temperature-Resilient Compute-In-Memory Based on Subthreshold-FeFET.
CoRR, 2023

Class-Aware Pruning for Efficient Neural Networks.
CoRR, 2023

OplixNet: Towards Area-Efficient Optical Split-Complex Networks with Real-to-Complex Data Assignment and Knowledge Distillation.
CoRR, 2023

A Ferroelectric Compute-in-Memory Annealer for Combinatorial Optimization Problems.
CoRR, 2023

Expressivity Enhancement with Efficient Quadratic Neurons for Convolutional Neural Networks.
CoRR, 2023

SEE-MCAM: Scalable Multi-Bit FeFET Content Addressable Memories for Energy Efficient Associative Search.
Proceedings of the IEEE/ACM International Conference on Computer Aided Design, 2023

Reconfigurable ferroelectric hafnium oxide FeFET fabricated in 28 nm CMOS technology for mmWave applications.
Proceedings of the 53rd IEEE European Solid-State Device Research Conference, 2023

SteppingNet: A Stepping Neural Network with Incremental Accuracy Enhancement.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2023

Approximate Floating-Point FFT Design with Wide Precision-Range and High Energy Efficiency.
Proceedings of the 28th Asia and South Pacific Design Automation Conference, 2023

2022
Senputing: An Ultra-Low-Power Always-On Vision Perception Chip Featuring the Deep Fusion of Sensing and Computing.
IEEE Trans. Circuits Syst. I Regul. Pap., 2022

VirtualSync+: Timing Optimization With Virtual Synchronization.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

Improving Fault Tolerance for Reliable DNN Using Boundary-Aware Activation.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

FeFET Multi-Bit Content-Addressable Memories for In-Memory Nearest Neighbor Search.
IEEE Trans. Computers, 2022

PAM: A Piecewise-Linearly-Approximated Floating-Point Multiplier With Unbiasedness and Configurability.
IEEE Trans. Computers, 2022

Computing-In-Memory Using Ferroelectrics: From Single- to Multi-Input Logic.
IEEE Des. Test, 2022

A Homogeneous Processing Fabric for Matrix-Vector Multiplication and Associative Search Using Ferroelectric Time-Domain Compute-in-Memory.
CoRR, 2022

OTFPF: Optimal Transport-Based Feature Pyramid Fusion Network for Brain Age Estimation with 3D Overlapped ConvNeXt.
CoRR, 2022

An Ultra-Compact Single FeFET Binary and Multi-Bit Associative Search Engine.
CoRR, 2022

Aging Aware Retraining for Memristor-based Neuromorphic Computing.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2022

COSIME: FeFET Based Associative Memory for In-Memory Cosine Similarity Search.
Proceedings of the 41st IEEE/ACM International Conference on Computer-Aided Design, 2022

Energy-Efficient Brain-Inspired Hyperdimensional Computing Using Voltage Scaling.
Proceedings of the 2022 Design, Automation & Test in Europe Conference & Exhibition, 2022

Eva-CAM: A Circuit/Architecture-Level Evaluation Tool for General Content Addressable Memories.
Proceedings of the 2022 Design, Automation & Test in Europe Conference & Exhibition, 2022

HDPG: hyperdimensional policy-based reinforcement learning for continuous control.
Proceedings of the DAC '22: 59th ACM/IEEE Design Automation Conference, San Francisco, California, USA, July 10, 2022

iMARS: an in-memory-computing architecture for recommendation systems.
Proceedings of the DAC '22: 59th ACM/IEEE Design Automation Conference, San Francisco, California, USA, July 10, 2022

Worst-case dynamic power distribution network noise prediction using convolutional neural network.
Proceedings of the DAC '22: 59th ACM/IEEE Design Automation Conference, San Francisco, California, USA, July 10, 2022

Energy efficient data search design and optimization based on a compact ferroelectric FET content addressable memory.
Proceedings of the DAC '22: 59th ACM/IEEE Design Automation Conference, San Francisco, California, USA, July 10, 2022

An Analog Clock-free Compute Fabric base on Continuous-Time Dynamical System for Solving Combinatorial Optimization Problems.
Proceedings of the IEEE Custom Integrated Circuits Conference, 2022

Approximate Multiplier Design for Energy Efficiency: From Circuit to Algorithm.
Proceedings of the Approximate Computing, 2022

2021
MACSen: A Processing-In-Sensor Architecture Integrating MAC Operations Into Image Sensor for Ultra-Low-Power BNN-Based Intelligent Visual Perception.
IEEE Trans. Circuits Syst. II Express Briefs, 2021

A Reconfigurable Multiplier for Signed Multiplications with Asymmetric Bit-Widths.
ACM J. Emerg. Technol. Comput. Syst., 2021

Deep Random Forest with Ferroelectric Analog Content Addressable Memory.
CoRR, 2021

On the Reliability of In-Memory Computing: Impact of Temperature on Ferroelectric TCAM.
Proceedings of the 39th IEEE VLSI Test Symposium, 2021

Robust In-Memory Computing with Hyperdimensional Stochastic Representation.
Proceedings of the IEEE/ACM International Symposium on Nanoscale Architectures, 2021

Regularization-Free Structural Pruning for GPU Inference Acceleration.
Proceedings of the 22nd International Symposium on Quality Electronic Design, 2021

Reliable Memristor-based Neuromorphic Design Using Variation- and Defect-Aware Training.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2021

ICCAD Tutorial Session Paper Ferroelectric FET Technology and Applications: From Devices to Systems.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2021

Real-Time and Robust Hyperdimensional Classification.
Proceedings of the GLSVLSI '21: Great Lakes Symposium on VLSI 2021, 2021

Energy-Aware Designs of Ferroelectric Ternary Content Addressable Memory.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2021

Joint Sparsity with Mixed Granularity for Efficient GPU Implementation.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2021

Cognitive Correlative Encoding for Genome Sequence Matching in Hyperdimensional System.
Proceedings of the 58th ACM/IEEE Design Automation Conference, 2021

RegHD: Robust and Efficient Regression in Hyper-Dimensional Learning System.
Proceedings of the 58th ACM/IEEE Design Automation Conference, 2021

Bayesian Inference Based Robust Computing on Memristor Crossbar.
Proceedings of the 58th ACM/IEEE Design Automation Conference, 2021

Robustness of Neuromorphic Computing with RRAM-based Crossbars and Optical Neural Networks.
Proceedings of the ASPDAC '21: 26th Asia and South Pacific Design Automation Conference, 2021

Cross-layer Design for Computing-in-Memory: From Devices, Circuits, to Architectures and Applications.
Proceedings of the ASPDAC '21: 26th Asia and South Pacific Design Automation Conference, 2021

2020
SearcHD: A Memory-Centric Hyperdimensional Computing With Stochastic Training.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020

The Impact of Ferroelectric FETs on Digital and Analog Circuits and Architectures.
IEEE Des. Test, 2020

FeCAM: A Universal Compact Digital and Analog Content Addressable Memory Using Ferroelectric.
CoRR, 2020

Analog Content Addressable Memory using Ferroelectric: A Case Study of Search-in-Memory.
Proceedings of the 33rd IEEE International System-on-Chip Conference, 2020

An Efficient and Flexible Learning Framework for Dynamic Power and Thermal Co-Management.
Proceedings of the MLCAD '20: 2020 ACM/IEEE Workshop on Machine Learning for CAD, 2020

A Convolutional Neural Network Accelerator Architecture with Fine-Granular Mixed Precision Configurability.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2020

Countering Variations and Thermal Effects for Accurate Optical Neural Networks.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2020

Seed-and-Vote based In-Memory Accelerator for DNA Read Mapping.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2020

Optimally Approximated and Unbiased Floating-Point Multiplier with Runtime Configurability.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2020

Modeling and Benchmarking Computing-in-Memory for Design Space Exploration.
Proceedings of the GLSVLSI '20: Great Lakes Symposium on VLSI 2020, 2020

AxR-NN: Approximate Computation Reuse for Energy-Efficient Convolutional Neural Networks.
Proceedings of the GLSVLSI '20: Great Lakes Symposium on VLSI 2020, 2020

Emerging Neural Workloads and Their Impact on Hardware.
Proceedings of the 2020 Design, Automation & Test in Europe Conference & Exhibition, 2020

Nonvolatile and Energy-Efficient FeFET-Based Multiplier for Energy-Harvesting Devices.
Proceedings of the 25th Asia and South Pacific Design Automation Conference, 2020

2019
Ferroelectric FETs-Based Nonvolatile Logic-in-Memory Circuits.
IEEE Trans. Very Large Scale Integr. Syst., 2019

An Ultra-Dense 2FeFET TCAM Design Based on a Multi-Domain FeFET Model.
IEEE Trans. Circuits Syst. II Express Briefs, 2019

Ferroelectric FET Based TCAM Designs for Energy Efficient Computing.
Proceedings of the 2019 IEEE Computer Society Annual Symposium on VLSI, 2019

The Impact of Emerging Technologies on Architectures and System-level Management: Invited Paper.
Proceedings of the International Conference on Computer-Aided Design, 2019

Ferroelectric FET Based In-Memory Computing for Few-Shot Learning.
Proceedings of the 2019 on Great Lakes Symposium on VLSI, 2019

2018
Efficient Analog Circuits for Boolean Satisfiability.
IEEE Trans. Very Large Scale Integr. Syst., 2018

Emerging reconfigurable nanotechnologies: can they support future electronics?
Proceedings of the International Conference on Computer-Aided Design, 2018

Design and optimization of FeFET-based crossbars for binary convolution neural networks.
Proceedings of the 2018 Design, Automation & Test in Europe Conference & Exhibition, 2018

Computing with ferroelectric FETs: Devices, models, systems, and applications.
Proceedings of the 2018 Design, Automation & Test in Europe Conference & Exhibition, 2018

2017
An analog SAT solver based on a deterministic dynamical system: (Invited paper).
Proceedings of the 2017 IEEE/ACM International Conference on Computer-Aided Design, 2017

Design and benchmarking of ferroelectric FET based TCAM.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2017

2016
Emerging Technology-Based Design of Primitives for Hardware Security.
ACM J. Emerg. Technol. Comput. Syst., 2016

Exploiting ferroelectric FETs for low-power non-volatile logic-in-memory circuits.
Proceedings of the 35th International Conference on Computer-Aided Design, 2016

Enhancing Hardware Security with Emerging Transistor Technologies.
Proceedings of the 26th edition on Great Lakes Symposium on VLSI, 2016

Design of latches and flip-flops using emerging tunneling devices.
Proceedings of the 2016 Design, Automation & Test in Europe Conference & Exhibition, 2016

Using emerging technologies for hardware security beyond PUFs.
Proceedings of the 2016 Design, Automation & Test in Europe Conference & Exhibition, 2016


  Loading...