Tajana Rosing

Orcid: 0000-0002-6954-997X

Affiliations:
  • University of California, San Diego, CA, USA


According to our database1, Tajana Rosing authored at least 367 papers between 1999 and 2024.

Collaborative distances:

Awards

ACM Fellow

ACM Fellow 2021, "For contributions to power, thermal, and reliability management".

IEEE Fellow

IEEE Fellow 2018, "For contributions to power and reliability management of Systems-on-Chip".

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2024
Abakus: Accelerating <i>k</i>-mer Counting with Storage Technology.
ACM Trans. Archit. Code Optim., March, 2024

Enhanced Noise-Resilient Pressure Mat System Based on Hyperdimensional Computing.
Sensors, February, 2024

Lifelong Intelligence Beyond the Edge using Hyperdimensional Computing.
CoRR, 2024

Evolve: Enhancing Unsupervised Continual Learning with Multiple Experts.
Proceedings of the IEEE/CVF Winter Conference on Applications of Computer Vision, 2024

2023
HyperSpikeASIC: Accelerating Event-Based Workloads With HyperDimensional Computing and Spiking Neural Networks.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., November, 2023

Testing and Enhancing Adversarial Robustness of Hyperdimensional Computing.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., November, 2023

RAPIDx: High-Performance ReRAM Processing In-Memory Accelerator for Sequence Alignment.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., October, 2023

Automating and Optimizing Reliability-Driven Deployment in Energy-Harvesting IoT Networks.
IEEE Trans. Netw. Serv. Manag., March, 2023

Dynamic Reliability Management of Multigateway IoT Edge Computing Systems.
IEEE Internet Things J., March, 2023

M2D2: Maximum-Mean-Discrepancy Decoder for Temporal Localization of Epileptic Brain Activities.
IEEE J. Biomed. Health Informatics, 2023

Federated Hyperdimensional Computing.
CoRR, 2023

Proxima: Near-storage Acceleration for Graph-based Approximate Nearest Neighbor Search in 3D NAND.
CoRR, 2023

FHEmem: A Processing In-Memory Accelerator for Fully Homomorphic Encryption.
CoRR, 2023

SpecHD: Hyperdimensional Computing Framework for FPGA-based Mass Spectrometry Clustering.
CoRR, 2023

Mem-Rec: Memory Efficient Recommendation System using Alternative Representation.
CoRR, 2023

HD-Bind: Encoding of Molecular Structure with Low Precision, Hyperdimensional Binary Representations.
CoRR, 2023

DODEM: DOuble DEfense Mechanism Against Adversarial Attacks Towards Secure Industrial Internet of Things Analytics.
CoRR, 2023

Multi-Label Classification With Hyperdimensional Representations.
IEEE Access, 2023

Analysis of Machine Learning Algorithms for Cyber Attack Detection in SCADA Power Systems.
Proceedings of the International Conference on Smart Applications, 2023

EmbHD: A Library for Hyperdimensional Computing Research on MCU-Class Devices.
Proceedings of the 2nd Workshop on Networked Sensing Systems for a Sustainable Society, 2023

An In-Storage Processing Architecture with 3D NAND Heterogeneous Integration for Spectra Open Modification Search.
Proceedings of the International Symposium on Memory Systems, 2023

HD2FPGA: Automated Framework for Accelerating Hyperdimensional Computing on FPGAs.
Proceedings of the 24th International Symposium on Quality Electronic Design, 2023

Efficient Machine Learning on Encrypted Data Using Hyperdimensional Computing.
Proceedings of the IEEE/ACM International Symposium on Low Power Electronics and Design, 2023

Poster Abstract: Attentive Multimodal Learning on Sensor Data using Hyperdimensional Computing.
Proceedings of the 22nd International Conference on Information Processing in Sensor Networks, 2023

Async-HFL: Efficient and Robust Asynchronous Federated Learning in Hierarchical IoT Networks.
Proceedings of the 8th ACM/IEEE Conference on Internet of Things Design and Implementation, 2023

Algorithm-Hardware Co-Design for Efficient Brain-Inspired Hyperdimensional Learning on Edge (Extended Abstract).
Proceedings of the Thirty-Second International Joint Conference on Artificial Intelligence, 2023

HyperMetric: Robust Hyperdimensional Computing on Error-prone Memories using Metric Learning.
Proceedings of the 41st IEEE International Conference on Computer Design, 2023

Towards a Robust and Efficient Classifier for Real World Radio Signal Modulation Classification.
Proceedings of the IEEE International Conference on Acoustics, 2023

OverlaPIM: Overlap Optimization for Processing In-Memory Neural Network Acceleration.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2023

FSL-HD: Accelerating Few-Shot Learning on ReRAM using Hyperdimensional Computing.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2023

HD-I-IoT: Hyperdimensional Computing for Resilient Industrial Internet of Things Analytics.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2023

Lightning Talk: Private and Secure Edge AI with Hyperdimensional Computing.
Proceedings of the 60th ACM/IEEE Design Automation Conference, 2023

SCALE: Online Self-Supervised Lifelong Learning without Prior Knowledge.
Proceedings of the IEEE/CVF Conference on Computer Vision and Pattern Recognition, 2023

Adversarial-HD: Hyperdimensional Computing Adversarial Attack Design for Secure Industrial Internet of Things.
Proceedings of Cyber-Physical Systems and Internet of Things Week 2023, 2023

GenoMiX: Accelerated Simultaneous Analysis of Human Genomics, Microbiome Metagenomics, and Viral Sequences.
Proceedings of the IEEE Biomedical Circuits and Systems Conference, 2023

2022
HyDREA: Utilizing Hyperdimensional Computing for a More Robust and Efficient Machine Learning System.
ACM Trans. Embed. Comput. Syst., November, 2022

NASCENT2: Generic Near-Storage Sort Accelerator for Data Analytics on SmartSSD.
ACM Trans. Reconfigurable Technol. Syst., 2022

Store-n-Learn: Classification and Clustering with Hyperdimensional Computing across Flash Hierarchy.
ACM Trans. Embed. Comput. Syst., 2022

Locality-Based Encoder and Model Quantization for Efficient Hyper-Dimensional Computing.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

OpenHD: A GPU-Powered Framework for Hyperdimensional Computing.
IEEE Trans. Computers, 2022

Trajectory Planning and Optimization for Minimizing Uncertainty in Persistent Monitoring Applications.
J. Intell. Robotic Syst., 2022

COSMO: Computing with Stochastic Numbers in Memory.
ACM J. Emerg. Technol. Comput. Syst., 2022

DOWELL: Diversity-Induced Optimally Weighted Ensemble Learner for Predictive Maintenance of Industrial Internet of Things Devices.
IEEE Internet Things J., 2022

Streaming Encoding Algorithms for Scalable Hyperdimensional Computing.
CoRR, 2022

MemFHE: End-to-End Computing with Fully Homomorphic Encryption in Memory.
CoRR, 2022

RES-HD: Resilient Intelligent Fault Diagnosis Against Adversarial Attacks Using Hyper-Dimensional Computing.
CoRR, 2022

STEWART: STacking Ensemble for White-Box AdversaRial Attacks Towards more resilient data-driven predictive maintenance.
Comput. Ind., 2022

Reinforcement learning based reliability-aware routing in IoT networks.
Ad Hoc Networks, 2022

ns3-fl: Simulating Federated Learning with ns-3.
Proceedings of the WNS3 2022: 2022 Workshop on ns-3, Virtual Event, USA, June 22, 2022

Self-Train: Self-Supervised On-Device Training for Post-Deployment Adaptation.
Proceedings of the IEEE International Conference on Smart Internet of Things, 2022

FedHD: federated learning with hyperdimensional computing.
Proceedings of the ACM MobiCom '22: The 28th Annual International Conference on Mobile Computing and Networking, Sydney, NSW, Australia, October 17, 2022

A Theoretical Perspective on Hyperdimensional Computing (Extended Abstract).
Proceedings of the Thirty-First International Joint Conference on Artificial Intelligence, 2022

DENSE-DEFENSE: Diversity Promoting Ensemble Adversarial Training Towards Effective Defense.
Proceedings of the 2022 IEEE Sensors, Dallas, TX, USA, October 30 - Nov. 2, 2022, 2022

TermiNETor: Early Convolution Termination for Efficient Deep Neural Networks.
Proceedings of the IEEE 40th International Conference on Computer Design, 2022

RelHD: A Graph-based Learning on FeFET with Hyperdimensional Computing.
Proceedings of the IEEE 40th International Conference on Computer Design, 2022

TransPIM: A Memory-based Acceleration via Software-Hardware Co-Design for Transformer.
Proceedings of the IEEE International Symposium on High-Performance Computer Architecture, 2022

HDnn-PIM: Efficient in Memory Design of Hyperdimensional Computing with Feature Extraction.
Proceedings of the GLSVLSI '22: Great Lakes Symposium on VLSI 2022, Irvine CA USA, June 6, 2022

SALIENT: Ultra-Fast FPGA-based Short Read Alignment.
Proceedings of the International Conference on Field-Programmable Technology, 2022

PIMProf: An Automated Program Profiler for Processing-in-Memory Offloading Decisions.
Proceedings of the 2022 Design, Automation & Test in Europe Conference & Exhibition, 2022

Remote Sensing with UAV and Mobile Recharging Vehicle Rendezvous.
Proceedings of the 2022 Design, Automation & Test in Europe Conference & Exhibition, 2022

Online Performance and Power Prediction for Edge TPU via Comprehensive Characterization.
Proceedings of the 2022 Design, Automation & Test in Europe Conference & Exhibition, 2022

Algorithm-Hardware Co-Design for Efficient Brain-Inspired Hyperdimensional Learning on Edge.
Proceedings of the 2022 Design, Automation & Test in Europe Conference & Exhibition, 2022

HyperSpike: HyperDimensional Computing for More Efficient and Robust Spiking Neural Networks.
Proceedings of the 2022 Design, Automation & Test in Europe Conference & Exhibition, 2022

A near-storage framework for boosted data preprocessing of mass spectrum clustering.
Proceedings of the DAC '22: 59th ACM/IEEE Design Automation Conference, San Francisco, California, USA, July 10, 2022

PatterNet: explore and exploit filter patterns for efficient deep neural networks.
Proceedings of the DAC '22: 59th ACM/IEEE Design Automation Conference, San Francisco, California, USA, July 10, 2022

GENERIC: highly efficient learning engine on edge using hyperdimensional computing.
Proceedings of the DAC '22: 59th ACM/IEEE Design Automation Conference, San Francisco, California, USA, July 10, 2022

FHDnn: communication efficient and robust federated learning for AIoT networks.
Proceedings of the DAC '22: 59th ACM/IEEE Design Automation Conference, San Francisco, California, USA, July 10, 2022

FAST: FPGA-based Acceleration of Genomic Sequence Trimming.
Proceedings of the IEEE Biomedical Circuits and Systems Conference, 2022

XCelHD: An Efficient GPU-Powered Hyperdimensional Computing with Parallelized Training.
Proceedings of the 27th Asia and South Pacific Design Automation Conference, 2022

Massively Parallel Open Modification Spectral Library Searching with Hyperdimensional Computing.
Proceedings of the International Conference on Parallel Architectures and Compilation Techniques, 2022

2021
A Theoretical Perspective on Hyperdimensional Computing.
J. Artif. Intell. Res., 2021

A Drone-based System for Intelligent and Autonomous Homes.
Proceedings of the SenSys '21: The 19th ACM Conference on Embedded Networked Sensor Systems, Coimbra, Portugal, November 15, 2021

Improving Mean Time to Failure of IoT Networks with Reliability-Aware Routing.
Proceedings of the 10th Mediterranean Conference on Embedded Computing, 2021

MACcelerator: Approximate Arithmetic Unit for Computational Acceleration.
Proceedings of the 22nd International Symposium on Quality Electronic Design, 2021

FPRA: A Fine-grained Parallel RRAM Architecture.
Proceedings of the IEEE/ACM International Symposium on Low Power Electronics and Design, 2021

Frequency-aware Trajectory and Power Control for Multi-UAV Systems.
Proceedings of the 2021 IEEE Conference on Computer Communications Workshops, 2021

ENFES: ENsemble FEw-Shot Learning For Intelligent Fault Diagnosis with Limited Data.
Proceedings of the 2021 IEEE Sensors, Sydney, Australia, October 31 - Nov. 3, 2021, 2021

CAHEROS: Constraint-Aware HEuristic Approach for RObust Sensor Placement.
Proceedings of the 2021 IEEE Sensors, Sydney, Australia, October 31 - Nov. 3, 2021, 2021

OPELRUL: OPtimally Weighted Ensemble Learner for Remaining Useful Life Prediction.
Proceedings of the International IEEE Conference on Prognostics and Health Management, 2021

AdaptBit-HD: Adaptive Model Bitwidth for Hyperdimensional Computing.
Proceedings of the 39th IEEE International Conference on Computer Design, 2021

Stochastic-HD: Leveraging Stochastic Computing on Hyper-Dimensional Computing.
Proceedings of the 39th IEEE International Conference on Computer Design, 2021

Massively Parallel Big Data Classification on a Programmable Processing In-Memory Architecture.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2021

Revisiting HyperDimensional Learning for FPGA and Low-Power Architectures.
Proceedings of the IEEE International Symposium on High-Performance Computer Architecture, 2021

NASCENT: Near-Storage Acceleration of Database Sort on SmartSSD.
Proceedings of the FPGA '21: The 2021 ACM/SIGDA International Symposium on Field Programmable Gate Arrays, Virtual Event, USA, February 28, 2021

HyGraph: Accelerating Graph Processing with Hybrid Memory-centric Computing.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2021

FPGA Acceleration of Protein Back-Translation and Alignment.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2021

HyDREA: Towards More Robust and Efficient Machine Learning Systems with Hyperdimensional Computing.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2021

tiny-HD: Ultra-Efficient Hyperdimensional Computing Engine for IoT Applications.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2021

TruLook: A Framework for Configurable GPU Approximation.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2021

MAT: Processing In-Memory Acceleration for Long-Sequence Attention.
Proceedings of the 58th ACM/IEEE Design Automation Conference, 2021

Invited: Accelerating Fully Homomorphic Encryption with Processing in Memory.
Proceedings of the 58th ACM/IEEE Design Automation Conference, 2021

Automating Reliable and Fault-Tolerant Design of LoRa-based IoT Networks.
Proceedings of the 17th International Conference on Network and Service Management, 2021

Attention State Classification with In-Ear EEG.
Proceedings of the IEEE Biomedical Circuits and Systems Conference, BioCAS 2021, 2021

DP-Sim: A Full-stack Simulation Infrastructure for Digital Processing In-Memory Architectures.
Proceedings of the ASPDAC '21: 26th Asia and South Pacific Design Automation Conference, 2021

Residue-Net: Multiplication-free Neural Network by In-situ No-loss Migration to Residue Number Systems.
Proceedings of the ASPDAC '21: 26th Asia and South Pacific Design Automation Conference, 2021

HyperRec: Efficient Recommender Systems with Hyperdimensional Computing.
Proceedings of the ASPDAC '21: 26th Asia and South Pacific Design Automation Conference, 2021

Energy and QoS-Aware Dynamic Reliability Management of IoT Edge Computing Systems.
Proceedings of the ASPDAC '21: 26th Asia and South Pacific Design Automation Conference, 2021

Ultra Efficient Acceleration for De Novo Genome Assembly via Near-Memory Computing.
Proceedings of the 30th International Conference on Parallel Architectures and Compilation Techniques, 2021

PIM-DL: Boosting DNN Inference on Digital Processing In-Memory Architectures via Data Layout Optimizations.
Proceedings of the 30th International Conference on Parallel Architectures and Compilation Techniques, 2021

2020
Human Behavior Aware Energy Management in Residential Cyber-Physical Systems.
IEEE Trans. Emerg. Top. Comput., 2020

Optimizing Sensor Deployment and Maintenance Costs for Large-Scale Environmental Monitoring.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020

Runtime Efficiency-Accuracy Tradeoff Using Configurable Floating Point Multiplier.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020

Data Reuse for Accelerated Approximate Warps.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020

SearcHD: A Memory-Centric Hyperdimensional Computing With Stochastic Training.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020

QuantHD: A Quantization Framework for Hyperdimensional Computing.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020

Accelerating Hyperdimensional Computing on FPGAs by Exploiting Computational Reuse.
IEEE Trans. Computers, 2020

CryptoPIM: In-memory Acceleration for Lattice-based Cryptographic Hardware.
IACR Cryptol. ePrint Arch., 2020

Efficient Associative Search in Brain-Inspired Hyperdimensional Computing.
IEEE Des. Test, 2020

Theoretical Foundations of Hyperdimensional Computing.
CoRR, 2020

Trustworthy AI Inference Systems: An Industry Research View.
CoRR, 2020

SHEARer: Highly-Efficient Hyperdimensional Computing by Software-Hardware Enabled Multifold Approximation.
CoRR, 2020

FPGA Acceleration of Sequence Alignment: A Survey.
CoRR, 2020

Multi-label HD Classification in 3D Flash.
Proceedings of the 28th IFIP/IEEE International Conference on Very Large Scale Integration, 2020

Deep Learning Acceleration using Digital-Based Processing In-Memory.
Proceedings of the 33rd IEEE International System-on-Chip Conference, 2020

LENARD: Lightweight ENsemble LeARner for MeDium-term Electricity Consumption Prediction.
Proceedings of the 2020 IEEE International Conference on Communications, 2020

Improved Schemes for Episodic Memory-based Lifelong Learning.
Proceedings of the Advances in Neural Information Processing Systems 33: Annual Conference on Neural Information Processing Systems 2020, 2020

Efficient Distributed Training in Heterogeneous Mobile Networks with Active Sampling.
Proceedings of the 16th International Conference on Mobility, Sensing and Networking, 2020

DUAL: Acceleration of Clustering Algorithms using Digital-based Processing In-Memory.
Proceedings of the 53rd Annual IEEE/ACM International Symposium on Microarchitecture, 2020

SHEAR<i>er</i>: highly-efficient hyperdimensional computing by software-hardware enabled multifold approximation.
Proceedings of the ISLPED '20: ACM/IEEE International Symposium on Low Power Electronics and Design, 2020

Implementing binary neural networks in memory with approximate accumulation.
Proceedings of the ISLPED '20: ACM/IEEE International Symposium on Low Power Electronics and Design, 2020

RESPIRE: Robust Sensor Placement Optimization in Probabilistic Environments.
Proceedings of the 2020 IEEE Sensors, Rotterdam, The Netherlands, October 25-28, 2020, 2020

RelIoT: Reliability Simulator for IoT Networks.
Proceedings of the Internet of Things - ICIOT 2020, 2020

Revisiting FPGA Routing under Varying Operating Conditions.
Proceedings of the International Conference on Field-Programmable Technology, 2020

THRIFTY: Training with Hyperdimensional Computing across Flash Hierarchy.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2020

Deep Learning Acceleration with Neuron-to-Memory Transformation.
Proceedings of the IEEE International Symposium on High Performance Computer Architecture, 2020

Detection of Epileptic Seizures from Surface EEG Using Hyperdimensional Computing.
Proceedings of the 42nd Annual International Conference of the IEEE Engineering in Medicine & Biology Society, 2020

A Broader Study of Cross-Domain Few-Shot Learning.
Proceedings of the Computer Vision - ECCV 2020, 2020

Simulating Reliability of IoT Networks with RelIoT.
Proceedings of the 50th Annual IEEE-IFIP International Conference on Dependable Systems and Networks, 2020

Efficient Training on Edge Devices Using Online Quantization.
Proceedings of the 2020 Design, Automation & Test in Europe Conference & Exhibition, 2020

GenieHD: Efficient DNA Pattern Matching Accelerator Using Hyperdimensional Computing.
Proceedings of the 2020 Design, Automation & Test in Europe Conference & Exhibition, 2020

SCRIMP: A General Stochastic Computing Architecture using ReRAM in-Memory Processing.
Proceedings of the 2020 Design, Automation & Test in Europe Conference & Exhibition, 2020

Prive-HD: Privacy-Preserved Hyperdimensional Computing.
Proceedings of the 57th ACM/IEEE Design Automation Conference, 2020

Reliability-Driven Deployment in Energy-Harvesting Sensor Networks.
Proceedings of the 16th International Conference on Network and Service Management, 2020

AdaFilter: Adaptive Filter Fine-Tuning for Deep Transfer Learning.
Proceedings of the Thirty-Fourth AAAI Conference on Artificial Intelligence, 2020

2019
Resistive CAM Acceleration for Tunable Approximate Computing.
IEEE Trans. Emerg. Top. Comput., 2019

NVQuery: Efficient Query Processing in Nonvolatile Memory.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2019

Optimal Performance-Aware Cooling on Enterprise Servers.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2019

NNPIM: A Processing In-Memory Architecture for Neural Network Acceleration.
IEEE Trans. Computers, 2019

Image Recognition Accelerator Design Using In-Memory Processing.
IEEE Micro, 2019

Hardware-Software Co-design to Accelerate Neural Network Applications.
ACM J. Emerg. Technol. Comput. Syst., 2019

A Survey on Architecture Advances Enabled by Emerging Beyond-CMOS Technologies.
IEEE Des. Test, 2019

A New Benchmark for Evaluation of Cross-Domain Few-Shot Learning.
CoRR, 2019

QubitHD: A Stochastic Acceleration Method for HD Computing-Based Machine Learning.
CoRR, 2019

Learning with Long-term Remembering: Following the Lead of Mixed Stochastic Gradient.
CoRR, 2019

Depthwise Convolution is All You Need for Learning Multiple Visual Domains.
CoRR, 2019

Efficient Sparse Processing in Smart Home Applications.
Proceedings of the 1st Workshop on Machine Learning on Edge in Sensor Systems, 2019

Digital-based processing in-memory: a highly-parallel accelerator for data intensive applications.
Proceedings of the International Symposium on Memory Systems, 2019

MAPIM: Mat Parallelism for High Performance Processing in Non-volatile Memory Architecture.
Proceedings of the 20th International Symposium on Quality Electronic Design, 2019

CompHD: Efficient Hyperdimensional Computing Using Model Compression.
Proceedings of the 2019 IEEE/ACM International Symposium on Low Power Electronics and Design, 2019

HR<sup>3</sup>AM: A Heat Resilient Design for RRAM-based Neuromorphic Computing.
Proceedings of the 2019 IEEE/ACM International Symposium on Low Power Electronics and Design, 2019

RAPID: A ReRAM Processing in-Memory Architecture for DNA Sequence Alignment.
Proceedings of the 2019 IEEE/ACM International Symposium on Low Power Electronics and Design, 2019

FloatPIM: in-memory acceleration of deep neural network training with high precision.
Proceedings of the 46th International Symposium on Computer Architecture, 2019

Hierarchical and Distributed Machine Learning Inference Beyond the Edge.
Proceedings of the 16th IEEE International Conference on Networking, Sensing and Control, 2019

FPGA Energy Efficiency by Leveraging Thermal Margin.
Proceedings of the 37th IEEE International Conference on Computer Design, 2019

Dynamic Optimization of Battery Health in IoT Networks.
Proceedings of the 37th IEEE International Conference on Computer Design, 2019

Workload-Aware Opportunistic Energy Efficiency in Multi-FPGA Platforms.
Proceedings of the International Conference on Computer-Aided Design, 2019

SemiHD: Semi-Supervised Learning Using Hyperdimensional Computing.
Proceedings of the International Conference on Computer-Aided Design, 2019

UPIM: Unipolar Switching Logic for High Density Processing-in-Memory Applications.
Proceedings of the 2019 on Great Lakes Symposium on VLSI, 2019

DigitalPIM: Digital-based Processing In-Memory for Big Data Acceleration.
Proceedings of the 2019 on Great Lakes Symposium on VLSI, 2019

Exploring Processing In-Memory for Different Technologies.
Proceedings of the 2019 on Great Lakes Symposium on VLSI, 2019

F5-HD: Fast Flexible FPGA-based Framework for Refreshing Hyperdimensional Computing.
Proceedings of the 2019 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, 2019

SparseHD: Algorithm-Hardware Co-optimization for Efficient High-Dimensional Computing.
Proceedings of the 27th IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2019

Application Performance Prediction and Optimization Under Cache Allocation Technology.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2019

Thermal-Aware Design and Flow for FPGA Performance Improvement.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2019

A Binary Learning Framework for Hyperdimensional Computing.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2019

HDCluster: An Accurate Clustering Using Brain-Inspired High-Dimensional Computing.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2019

CADE: Configurable Approximate Divider for Energy Efficiency.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2019

Thermal-Aware Design and Management for Search-based In-Memory Acceleration.
Proceedings of the 56th Annual Design Automation Conference 2019, 2019

ARGA: Approximate Reuse for GPGPU Acceleration.
Proceedings of the 56th Annual Design Automation Conference 2019, 2019

ApproxLP: Approximate Multiplication with Linearization and Iterative Error Control.
Proceedings of the 56th Annual Design Automation Conference 2019, 2019

BRIC: Locality-based Encoding for Energy-Efficient Brain-Inspired Hyperdimensional Computing.
Proceedings of the 56th Annual Design Automation Conference 2019, 2019

SpotTune: Transfer Learning Through Adaptive Fine-Tuning.
Proceedings of the IEEE Conference on Computer Vision and Pattern Recognition, 2019

AdaptHD: Adaptive Efficient Training for Brain-Inspired Hyperdimensional Computing.
Proceedings of the 2019 IEEE Biomedical Circuits and Systems Conference, 2019

GRAM: graph processing in a ReRAM-based computational memory.
Proceedings of the 24th Asia and South Pacific Design Automation Conference, 2019

ALook: adaptive lookup for GPGPU acceleration.
Proceedings of the 24th Asia and South Pacific Design Automation Conference, 2019

FACH: FPGA-based acceleration of hyperdimensional computing by reducing computational complexity.
Proceedings of the 24th Asia and South Pacific Design Automation Conference, 2019

Robust Velocity Control for Minimum Steady State Uncertainty in Persistent Monitoring Applications.
Proceedings of the 2019 American Control Conference, 2019

Depthwise Convolution Is All You Need for Learning Multiple Visual Domains.
Proceedings of the Thirty-Third AAAI Conference on Artificial Intelligence, 2019

A Framework for Collaborative Learning in Secure High-Dimensional Space.
Proceedings of the 12th IEEE International Conference on Cloud Computing, 2019

Approximate CPU and GPU Design Using Emerging Memory Technologies.
Proceedings of the Approximate Circuits, Methodologies and CAD., 2019

2018
Guest Editorial Memristive-Device-Based Computing.
IEEE Trans. Very Large Scale Integr. Syst., 2018

Multi-Stage Tunable Approximate Search in Resistive Associative Memory.
IEEE Trans. Multi Scale Comput. Syst., 2018

Optimal Packet Aggregation Scheduling in Wireless Networks.
IEEE Trans. Mob. Comput., 2018

Design of Transmission Manager in Heterogeneous WSNs.
IEEE Trans. Emerg. Top. Comput., 2018

Approximate Computing Using Multiple-Access Single-Charge Associative Memory.
IEEE Trans. Emerg. Top. Comput., 2018

Modular and Personalized Smart Health Application Design in a Smart City Environment.
IEEE Internet Things J., 2018

Nvalt: Nonvolatile Approximate Lookup Table for GPU Acceleration.
IEEE Embed. Syst. Lett., 2018

RAPIDNN: In-Memory Deep Neural Network Acceleration Framework.
CoRR, 2018

LUPIS: Latch-up based ultra efficient processing in-memory system.
Proceedings of the 19th International Symposium on Quality Electronic Design, 2018

Deep neural network acceleration framework under hardware uncertainty.
Proceedings of the 19th International Symposium on Quality Electronic Design, 2018

Program acceleration using nearest distance associative search.
Proceedings of the 19th International Symposium on Quality Electronic Design, 2018

GAS: A Heterogeneous Memory Architecture for Graph Processing.
Proceedings of the International Symposium on Low Power Electronics and Design, 2018

RMAC: Runtime Configurable Floating Point Multiplier for Approximate Computing.
Proceedings of the International Symposium on Low Power Electronics and Design, 2018

Efficient human activity recognition using hyperdimensional computing.
Proceedings of the 8th International Conference on the Internet of Things, 2018

RNSnet: In-Memory Neural Network Acceleration Using Residue Number System.
Proceedings of the 2018 IEEE International Conference on Rebooting Computing, 2018

FELIX: fast and energy-efficient logic in memory.
Proceedings of the International Conference on Computer-Aided Design, 2018

GenPIM: Generalized processing in-memory to accelerate data intensive applications.
Proceedings of the 2018 Design, Automation & Test in Europe Conference & Exhibition, 2018

Hierarchical hyperdimensional computing for energy efficient classification.
Proceedings of the 55th Annual Design Automation Conference, 2018

HDNA: Energy-efficient DNA sequencing using hyperdimensional computing.
Proceedings of the 2018 IEEE EMBS International Conference on Biomedical & Health Informatics, 2018

CANNA: Neural network acceleration using configurable approximation on GPGPU.
Proceedings of the 23rd Asia and South Pacific Design Automation Conference, 2018

2017
WARM: Workload-Aware Reliability Management in Linux/Android.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2017

Scalable-Application Design for the IoT.
IEEE Softw., 2017

Low-Power Sparse Hyperdimensional Encoder for Language Recognition.
IEEE Des. Test, 2017

Enabling efficient system design using vertical nanowire transistor current mode logic.
Proceedings of the 2017 IFIP/IEEE International Conference on Very Large Scale Integration, 2017

Accuracy-resource tradeoff for edge devices in Internet of Things.
Proceedings of the 2017 IEEE International Conference on Pervasive Computing and Communications Workshops, 2017

Context-aware and user-centric residential energy management.
Proceedings of the 2017 IEEE International Conference on Pervasive Computing and Communications Workshops, 2017

CAP: Configurable resistive associative processor for near-data computing.
Proceedings of the 18th International Symposium on Quality Electronic Design, 2017

Efficient query processing in crossbar memory.
Proceedings of the 2017 IEEE/ACM International Symposium on Low Power Electronics and Design, 2017

VoiceHD: Hyperdimensional Computing for Efficient Speech Recognition.
Proceedings of the IEEE International Conference on Rebooting Computing, 2017

NNgine: Ultra-Efficient Nearest Neighbor Accelerator Based on In-Memory Computing.
Proceedings of the IEEE International Conference on Rebooting Computing, 2017

P<sup>4</sup>: Phase-based power/performance prediction of heterogeneous systems via neural networks.
Proceedings of the 2017 IEEE/ACM International Conference on Computer-Aided Design, 2017

ORCHARD: Visual object recognition accelerator based on approximate in-memory processing.
Proceedings of the 2017 IEEE/ACM International Conference on Computer-Aided Design, 2017

Exploring Hyperdimensional Associative Memory.
Proceedings of the 2017 IEEE International Symposium on High Performance Computer Architecture, 2017

LookNN: Neural network with no multiplication.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2017

Efficient neural network acceleration on GPGPU using content addressable memory.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2017

Multi-variable Dynamic Power Management for the GPU Subsystem.
Proceedings of the 54th Annual Design Automation Conference, 2017

CFPU: Configurable Floating Point Multiplier for Energy-Efficient Computing.
Proceedings of the 54th Annual Design Automation Conference, 2017

Ultra-Efficient Processing In-Memory for Data Intensive Applications.
Proceedings of the 54th Annual Design Automation Conference, 2017

Cross-platform machine learning characterization for task allocation in IoT ecosystems.
Proceedings of the IEEE 7th Annual Computing and Communication Workshop and Conference, 2017

MPIM: Multi-purpose in-memory processing using configurable resistive memory.
Proceedings of the 22nd Asia and South Pacific Design Automation Conference, 2017

2016
Renewable Energy Prediction for Improved Utilization and Efficiency in Datacenters and Backbone Networks.
Proceedings of the Computational Sustainability, 2016

Time-series clustering for data analysis in Smart Grid.
Proceedings of the 2016 IEEE International Conference on Smart Grid Communications, 2016

An Interactive Context-aware Power Management Technique for Optimizing Sensor Network Lifetime.
Proceedings of the SENSORNETS 2016, 2016

A low-power hybrid magnetic cache architecture exploiting narrow-width values.
Proceedings of the 5th Non-Volatile Memory Systems and Applications Symposium, 2016

Processing Acceleration with Resistive Memory-based Computation.
Proceedings of the Second International Symposium on Memory Systems, 2016

Low power data-aware STT-RAM based hybrid cache architecture.
Proceedings of the 17th International Symposium on Quality Electronic Design, 2016

ReMAM: Low energy Resistive Multi-stage Associative Memory for energy efficient computing.
Proceedings of the 17th International Symposium on Quality Electronic Design, 2016

ACAM: Approximate Computing Based on Adaptive Associative Memory with Online Learning.
Proceedings of the 2016 International Symposium on Low Power Electronics and Design, 2016

A Modular Approach to Context-Aware IoT Applications.
Proceedings of the First IEEE International Conference on Internet-of-Things Design and Implementation, 2016

VarDroid: Online Variability Emulation in Android/Linux Platforms.
Proceedings of the 26th edition on Great Lakes Symposium on VLSI, 2016

DCC: Double Capacity Cache Architecture for Narrow-Width Values.
Proceedings of the 26th edition on Great Lakes Symposium on VLSI, 2016

Optimal in-network packet aggregation policy for maximum information freshness.
Proceedings of the European Conference on Networks and Communications, 2016

Resistive configurable associative memory for approximate computing.
Proceedings of the 2016 Design, Automation & Test in Europe Conference & Exhibition, 2016

MASC: Ultra-low energy multiple-access single-charge TCAM for approximate computing.
Proceedings of the 2016 Design, Automation & Test in Europe Conference & Exhibition, 2016

2015
NSF expedition on variability-aware software: Recent results and contributions.
it Inf. Technol., 2015

BLAST: Battery Lifetime-constrained Adaptation with Selected Target in Mobile Devices.
EAI Endorsed Trans. Energy Web, 2015

Hierarchical design of robust and low data dependent FinFET based SRAM array.
Proceedings of the 2015 IEEE/ACM International Symposium on Nanoscale Architectures, 2015

Characterization of User's Behavior Variations for Design of Replayable Mobile Workloads.
Proceedings of the Mobile Computing, Applications, and Services, 2015

Modeling and Mitigation of Extra-SoC Thermal Coupling Effects and Heat Transfer Variations in Mobile Devices.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2015

Smartphone Analysis and Optimization based on User Activity Recognition.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2015

CAUSE: Critical Application Usage-Aware Memory System using Non-volatile Memory for Mobile Devices.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2015

Accurate emulation of fast optical circuit switches.
Proceedings of the 2015 IEEE International Conference on Communications, 2015

S2Sim: Smart Grid Swarm Simulator.
Proceedings of the Sixth International Green and Sustainable Computing Conference, 2015

Minimizing the effects of data centers on microgrid stability.
Proceedings of the Sixth International Green and Sustainable Computing Conference, 2015

Transmission Manager in Heterogeneous WSNs.
Proceedings of the 2015 IEEE Global Communications Conference, 2015

GazeTube: Gaze-Based Adaptive Video Playback for Bandwidth and Power Optimizations.
Proceedings of the 2015 IEEE Global Communications Conference, 2015

Dynamic Optical Switching for Latency Sensitive Applications.
Proceedings of the Eleventh ACM/IEEE Symposium on Architectures for networking and communications systems, 2015

2014
The Swarm at the Edge of the Cloud.
IEEE Des. Test, 2014

TESLA: Taylor expanded solar analog forecasting.
Proceedings of the 2014 IEEE International Conference on Smart Grid Communications, 2014

Distributed control of a swarm of buildings connected to a smart grid: demo abstract.
Proceedings of the 1st ACM Conference on Embedded Systems for Energy-Efficient Buildings, 2014

User-centric joint power and thermal management for smartphones.
Proceedings of the 6th International Conference on Mobile Computing, 2014

Leveraging application context for efficient sensing.
Proceedings of the 2014 IEEE Ninth International Conference on Intelligent Sensors, 2014

A Proactive Customer-Aware Resource Allocation Approach for Data Centers.
Proceedings of the IEEE International Symposium on Parallel and Distributed Processing with Applications, 2014

Dynamic variability management in mobile multicore processors under lifetime constraints.
Proceedings of the 32nd IEEE International Conference on Computer Design, 2014

Traffic Optimization in Multi-layered WANs Using SDN.
Proceedings of the 22nd IEEE Annual Symposium on High-Performance Interconnects, 2014

An On-line Reliability Emulation Framework.
Proceedings of the 12th IEEE International Conference on Embedded and Ubiquitous Computing, 2014

Ambient variation-tolerant and inter components aware thermal management for mobile system on chips.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2014

A Linux-governor based Dynamic Reliability Manager for android mobile devices.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2014

Providing regulation services and managing data center peak power budgets.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2014

2013
CoMETC: Coordinated management of energy/thermal/cooling in servers.
ACM Trans. Design Autom. Electr. Syst., 2013

PROMETHEUS: A Proactive Method for Thermal Management of Heterogeneous MPSoCs.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2013

Many-Core Token-Based Adaptive Power Gating.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2013

Underdesigned and Opportunistic Computing in Presence of Hardware Variability.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2013

Correcting vibration-induced performance degradation in enterprise servers.
SIGMETRICS Perform. Evaluation Rev., 2013

Energy Management in Wireless Mobile Systems Using Dynamic Task Assignment.
J. Low Power Electron., 2013

ECO-DAC Energy Control over Divide and Control.
Proceedings of the IEEE Fourth International Conference on Smart Grid Communications, 2013

Optimal battery configuration in a residential home with time-of-use pricing.
Proceedings of the IEEE Fourth International Conference on Smart Grid Communications, 2013

Integrating microsecond circuit switching into the data center.
Proceedings of the ACM SIGCOMM 2013 Conference, 2013

Efficient energy management and data recovery in sensor networks using latent variables based tensor factorization.
Proceedings of the 16th ACM International Conference on Modeling, 2013

Architecting Efficient Peak Power Shaving Using Batteries in Data Centers.
Proceedings of the 2013 IEEE 21st International Symposium on Modelling, 2013

Residential energy simulation and scheduling: A case study approach.
Proceedings of the 2013 IEEE Symposium on Computers and Communications, 2013

Power modeling and thermal management techniques for manycores.
Proceedings of the 2013 IEEE Symposium on Computers and Communications, 2013

A comprehensive approach to reduce the energy cost of network of datacenters.
Proceedings of the 2013 IEEE Symposium on Computers and Communications, 2013

vGreenNet: Managing Server and Networking Resources of Co-Located Heterogeneous VMs.
Proceedings of the 2013 IEEE International Symposium on Parallel & Distributed Processing, 2013

Green web services: Improving energy efficiency in data centers via workload predictions.
Proceedings of the 2nd International Workshop on Green and Sustainable Software, 2013

HomeSim: Comprehensive, smart, residential electrical energy simulation and scheduling.
Proceedings of the International Green Computing Conference, 2013

Distributed battery control for peak power shaving in datacenters.
Proceedings of the International Green Computing Conference, 2013

A novel protocol for adaptive broadcasting of sensor data in urban scenarios.
Proceedings of the 2013 IEEE Global Communications Conference, 2013

Temperature aware thread block scheduling in GPGPUs.
Proceedings of the 50th Annual Design Automation Conference 2013, 2013

Workload and user experience-aware dynamic reliability management in multicore processors.
Proceedings of the 50th Annual Design Automation Conference 2013, 2013

On potential design impacts of electromigration awareness.
Proceedings of the 18th Asia and South Pacific Design Automation Conference, 2013

2012
Using Datacenter Simulation to Evaluate Green Energy Integration.
Computer, 2012

CitiSense: improving geospatial environmental assessment of air quality using a wireless personal exposure monitoring system.
Proceedings of the Wireless Health 2012, 2012

Context-Aware Mobile Power Management Using Fuzzy Inference as a Service.
Proceedings of the Mobile Computing, Applications, and Services, 2012

TAP: token-based adaptive power gating.
Proceedings of the International Symposium on Low Power Electronics and Design, 2012

Fan-speed-aware scheduling of data intensive jobs.
Proceedings of the International Symposium on Low Power Electronics and Design, 2012

Managing distributed UPS energy for effective power capping in data centers.
Proceedings of the 39th International Symposium on Computer Architecture (ISCA 2012), 2012

Model-driven adaptive wireless sensing for environmental healthcare feedback systems.
Proceedings of IEEE International Conference on Communications, 2012

JETC: Joint energy thermal and cooling management for memory and CPU subsystems in servers.
Proceedings of the 18th IEEE International Symposium on High Performance Computer Architecture, 2012

Themis: Energy Efficient Management of Workloads in Virtualized Data Centers.
Proceedings of the Euro-Par 2012: Parallel Processing Workshops, 2012

TempoMP: Integrated prediction and management of temperature in heterogeneous MPSoCs.
Proceedings of the 2012 Design, Automation & Test in Europe Conference & Exhibition, 2012

MAPG: Memory access power gating.
Proceedings of the 2012 Design, Automation & Test in Europe Conference & Exhibition, 2012

Benefits of green energy and proportionality in high speed wide area networks connecting data centers.
Proceedings of the 2012 Design, Automation & Test in Europe Conference & Exhibition, 2012

2011
Energy-Efficient Multiobjective Thermal Control for Liquid-Cooled 3-D Stacked Architectures.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2011

Temperature Aware Dynamic Workload Scheduling in Multisocket CPU Servers.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2011

Utilizing green energy prediction to schedule mixed batch and service jobs in data centers.
ACM SIGOPS Oper. Syst. Rev., 2011

Resource Management in Heterogeneous Wireless Sensor Networks.
J. Low Power Electron., 2011

A scheduling algorithm for consistent monitoring results with solar powered high-performance wireless embedded systems.
Proceedings of the 2011 International Symposium on Low Power Electronics and Design, 2011

OS-level power minimization under tight performance constraints in general purpose systems.
Proceedings of the 2011 International Symposium on Low Power Electronics and Design, 2011

Distributed thermal management for embedded heterogeneous MPSoCs with dedicated hardware accelerators.
Proceedings of the IEEE 29th International Conference on Computer Design, 2011

Temperature-Aware Scheduling for Embedded Heterogeneous MPSoCs with Special Purpose IP Cores.
Proceedings of 20th International Conference on Computer Communications and Networks, 2011

Energy efficient computing in large scale systems.
Proceedings of the 2011 IEEE Custom Integrated Circuits Conference, 2011

2010
vGreen: A System for Energy-Efficient Management of Virtual Machines.
ACM Trans. Design Autom. Electr. Syst., 2010

Accurate Direct and Indirect On-Chip Temperature Sensing for Efficient Dynamic Thermal Management.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2010

Dynamic workload characterization for power efficient scheduling on CMP systems.
Proceedings of the 2010 International Symposium on Low Power Electronics and Design, 2010

Energy efficient proactive thermal management in memory subsystem.
Proceedings of the 2010 International Symposium on Low Power Electronics and Design, 2010

Package-Aware Scheduling of embedded workloads for temperature and Energy management on heterogeneous MPSoCs.
Proceedings of the 28th International Conference on Computer Design, 2010

DVFS based task scheduling in a harvesting WSN for Structural Health Monitoring.
Proceedings of the Design, Automation and Test in Europe, 2010

Energy-efficient variable-flow liquid cooling in 3D stacked architectures.
Proceedings of the Design, Automation and Test in Europe, 2010

GentleCool: Cooling aware proactive workload scheduling in multi-machine systems.
Proceedings of the Design, Automation and Test in Europe, 2010

DynAHeal: Dynamic energy efficient task assignment for wireless healthcare systems.
Proceedings of the Design, Automation and Test in Europe, 2010

A system for online power prediction in virtualized environments using Gaussian mixture models.
Proceedings of the 47th Design Automation Conference, 2010

DynAGreen: hierarchical dynamic energy efficient task assignment for wireless healthcare systems.
Proceedings of the 5th International ICST Conference on Body Area Networks, 2010

Hybrid dynamic energy and thermal management in heterogeneous embedded multiprocessor SoCs.
Proceedings of the 15th Asia South Pacific Design Automation Conference, 2010

Cool and save: cooling aware dynamic workload scheduling in multi-socket CPU systems.
Proceedings of the 15th Asia South Pacific Design Automation Conference, 2010

2009
System-Level Power Management Using Online Learning.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2009

Utilizing Predictors for Efficient Thermal Management in Multiprocessor SoCs.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2009

Thermal Modeling and Management of Liquid-Cooled 3D Stacked Architectures.
Proceedings of the VLSI-SoC: Technologies for Systems Integration, 2009

Evaluating the impact of job scheduling and power management on processor lifetime for chip multiprocessors.
Proceedings of the Eleventh International Joint Conference on Measurement and Modeling of Computer Systems, 2009

vGreen: a system for energy efficient computing in virtualized environments.
Proceedings of the 2009 International Symposium on Low Power Electronics and Design, 2009

Predict and act: dynamic thermal management for multi-core processors.
Proceedings of the 2009 International Symposium on Low Power Electronics and Design, 2009

Poster abstract: Energy management in wireless healthcare systems.
Proceedings of the 8th International Conference on Information Processing in Sensor Networks, 2009

Temperature- and Cost-Aware Design of 3D Multiprocessor Architectures.
Proceedings of the 12th Euromicro Conference on Digital System Design, 2009

Dynamic thermal management in 3D multicore architectures.
Proceedings of the Design, Automation and Test in Europe, 2009

PDRAM: a hybrid PRAM and DRAM main memory system.
Proceedings of the 46th Design Automation Conference, 2009

2008
Static and Dynamic Temperature-Aware Scheduling for Multiprocessor SoCs.
IEEE Trans. Very Large Scale Integr. Syst., 2008

Accurate Temperature Estimation for Efficient Thermal Management.
Proceedings of the 9th International Symposium on Quality of Electronic Design (ISQED 2008), 2008

Proactive temperature management in MPSoCs.
Proceedings of the 2008 International Symposium on Low Power Electronics and Design, 2008

Proactive temperature balancing for low cost thermal management in MPSoCs.
Proceedings of the 2008 International Conference on Computer-Aided Design, 2008

An analytical model for the upper bound on temperature differences on a chip.
Proceedings of the 18th ACM Great Lakes Symposium on VLSI 2008, 2008

Temperature management in multiprocessor SoCs using online learning.
Proceedings of the 45th Design Automation Conference, 2008

Temperature-aware MPSoC scheduling for reducing hot spots and gradients.
Proceedings of the 13th Asia South Pacific Design Automation Conference, 2008

2007
Power-Aware Resource Management Techniques for Low-Power Embedded Systems.
Proceedings of the Handbook of Real-Time and Embedded Systems., 2007

Power and Reliability Management of SoCs.
IEEE Trans. Very Large Scale Integr. Syst., 2007

Dynamic voltage frequency scaling for multi-tasking systems using online learning.
Proceedings of the 2007 International Symposium on Low Power Electronics and Design, 2007

Active sensing platform for wireless structural health monitoring.
Proceedings of the 6th International Conference on Information Processing in Sensor Networks, 2007

Temperature aware task scheduling in MPSoCs.
Proceedings of the 2007 Design, Automation and Test in Europe Conference and Exposition, 2007

2006
Analysis and Optimization of MPSoC Reliability.
J. Low Power Electron., 2006

Scheduling Data Delivery in Heterogeneous Wireless Sensor Networks.
Proceedings of the Eigth IEEE International Symposium on Multimedia (ISM 2006), 2006

Dynamic power management using machine learning.
Proceedings of the 2006 International Conference on Computer-Aided Design, 2006

A simulation methodology for reliability analysis in multi-core SoCs.
Proceedings of the 16th ACM Great Lakes Symposium on VLSI 2006, Philadelphia, PA, USA, April 30, 2006

2005
Remote power control of wireless network interfaces.
J. Embed. Comput., 2005

Energy-Aware Distributed Speech Recognition for Wireless Mobile Devices.
IEEE Des. Test Comput., 2005

Optimization of Reliability and Power Consumption in Systems on a Chip.
Proceedings of the Integrated Circuit and System Design, 2005

Power Saving Techniques for Wireless LANs.
Proceedings of the 2005 Design, 2005

2004
Managing power consumption in networks on chips.
IEEE Trans. Very Large Scale Integr. Syst., 2004

Smart edge server: beyond a wireless access point.
Proceedings of the 2nd ACM International Workshop on Wireless Mobile Applications and Services on WLAN Hotspots, 2004

Energy estimation of peripheral devices in embedded systems.
Proceedings of the 14th ACM Great Lakes Symposium on VLSI 2004, 2004

Reliability and Power Management of Integrated Systems.
Proceedings of the 2004 Euromicro Symposium on Digital Systems Design (DSD 2004), Architectures, Methods and Tools, 31 August, 2004

2003
Complex instruction and software library mapping for embedded software using symbolic algebra.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2003

Heterogeneous Wireless Network Management.
Proceedings of the Power-Aware Computer Systems, Third International Workshop, 2003

A WLAN Scheduling Algorithm to Reduce the Energy Consumption of a Distributed Speech Recognition Front-End.
Proceedings of the First Workshop on Embedded Systems for Real-Time Multimedia, 2003

2002
A low-power, fixed-point, front-end feature extraction for a distributed speech recognition system.
Proceedings of the IEEE International Conference on Acoustics, 2002

Managing Power Consumption in Networks on Chip.
Proceedings of the 2002 Design, 2002

Low Power Embedded Software Optimization Using Symbolic Algebra.
Proceedings of the 2002 Design, 2002

Complex library mapping for embedded software using symbolic algebra.
Proceedings of the 39th Design Automation Conference, 2002

2001
Energy-efficient design of battery-powered embedded systems.
IEEE Trans. Very Large Scale Integr. Syst., 2001

Event-driven power management.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2001

Dynamic Voltage Scaling and Power Management for Portable Systems.
Proceedings of the 38th Design Automation Conference, 2001

2000
Dynamic power management for portable systems.
Proceedings of the MOBICOM 2000, 2000

Source Code Optimization and Profiling of Energy Consumption in Embedded Systems.
Proceedings of the 13th International Symposium on System Synthesis, 2000

Energy efficient design of portable wireless systems.
Proceedings of the 2000 International Symposium on Low Power Electronics and Design, 2000

Dynamic Power Management of Laptop Hard Disk.
Proceedings of the 2000 Design, 2000

Quantitative Comparison of Power Management Algorithms.
Proceedings of the 2000 Design, 2000

1999
Event-Driven Power Management of Portable Systems.
Proceedings of the 12th International Symposium on System Synthesis, 1999

Cycle-Accurate Simulation of Energy Consumption in Embedded Systems.
Proceedings of the 36th Conference on Design Automation, 1999

Software controlled power management.
Proceedings of the Seventh International Workshop on Hardware/Software Codesign, 1999


  Loading...