Xun Jiao

Orcid: 0000-0003-4476-2501

According to our database1, Xun Jiao authored at least 81 papers between 2012 and 2024.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2024
Dr. DNA: Combating Silent Data Corruptions in Deep Learning using Distribution of Neuron Activations.
Proceedings of the 29th ACM International Conference on Architectural Support for Programming Languages and Operating Systems, 2024

2023
Testing and Enhancing Adversarial Robustness of Hyperdimensional Computing.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., November, 2023

AMITE: A Novel Polynomial Expansion for Analyzing Neural Network Nonlinearities.
IEEE Trans. Neural Networks Learn. Syst., September, 2023

A Transformer-Based Contrastive Semi-Supervised Learning Framework for Automatic Modulation Recognition.
IEEE Trans. Cogn. Commun. Netw., August, 2023

EnHDC: Ensemble Learning for Brain-Inspired Hyperdimensional Computing.
IEEE Embed. Syst. Lett., March, 2023

A Computing-in-Memory-based One-Class Hyperdimensional Computing Model for Outlier Detection.
CoRR, 2023

Approximate Computing Survey, Part II: Application-Specific & Architectural Approximation Techniques and Applications.
CoRR, 2023

Approximate Computing Survey, Part I: Terminology and Software & Hardware Approximation Techniques.
CoRR, 2023

Evaluating and Enhancing Robustness of Deep Recommendation Systems Against Hardware Errors.
CoRR, 2023

Brief Industry Paper: Evaluating Robustness of Deep Learning-Based Recommendation Systems Against Hardware Errors: A Case Study.
Proceedings of the IEEE Real-Time Systems Symposium, 2023

PreAxC: Error Distribution Prediction for Approximate Computing Quality Control using Graph Neural Networks.
Proceedings of the 24th International Symposium on Quality Electronic Design, 2023

On Hyperdimensional Computing-based Federated Learning: A Case Study.
Proceedings of the International Joint Conference on Neural Networks, 2023

Invited Paper: Hyperdimensional Computing for Resilient Edge Learning.
Proceedings of the IEEE/ACM International Conference on Computer Aided Design, 2023

Strategies for Enhanced Signal Modulation Classifications Under Unknown Symbol Rates and Noise Conditions.
Proceedings of the IEEE International Conference on Acoustics, 2023

Leveraging Machine Learning to Understand Green Stormwater Infrastructure Performance Risks.
Proceedings of the IEEE Global Humanitarian Technology Conference, 2023

Adversarial Attack on Hyperdimensional Computing-based NLP Applications.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2023

Comprehensive Analysis of Hyperdimensional Computing Against Gradient Based Attacks.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2023

PerfHD: Efficient ViT Architecture Performance Ranking using Hyperdimensional Computing.
Proceedings of the IEEE/CVF Conference on Computer Vision and Pattern Recognition, 2023

Robust Hyperdimensional Computing against Cyber Attacks and Hardware Errors: A Survey.
Proceedings of the 28th Asia and South Pacific Design Automation Conference, 2023

Beyond von Neumann Era: Brain-Inspired Hyperdimensional Computing to the Rescue.
Proceedings of the 28th Asia and South Pacific Design Automation Conference, 2023

2022
DEVoT: Dynamic Delay Modeling of Functional Units Under Voltage and Temperature Variations.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

Assessing and Analyzing the Resilience of Graph Neural Networks Against Hardware Faults.
CoRR, 2022

NasHD: Efficient ViT Architecture Performance Ranking using Hyperdimensional Computing.
CoRR, 2022

Hyperdimensional Computing vs. Neural Networks: Comparing Architecture and Learning Process.
CoRR, 2022

Automated Architecture Search for Brain-inspired Hyperdimensional Computing.
CoRR, 2022

HDCoin: A Proof-of-Useful-Work Based Blockchain for Hyperdimensional Computing.
CoRR, 2022

Hardware-aware Automated Architecture Search for Brain-inspired Hyperdimensional Computing.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2022

AxBy-ViT: Reconfigurable Approximate Computation Bypass for Vision Transformers.
Proceedings of the 23rd International Symposium on Quality Electronic Design, 2022

ScaleHD: Robust Brain-Inspired Hyperdimensional Computing via Adapative Scaling.
Proceedings of the 41st IEEE/ACM International Conference on Computer-Aided Design, 2022

Energy-Efficient Brain-Inspired Hyperdimensional Computing Using Voltage Scaling.
Proceedings of the 2022 Design, Automation & Test in Europe Conference & Exhibition, 2022

PoisonHD: Poison Attack on Brain-Inspired Hyperdimensional Computing.
Proceedings of the 2022 Design, Automation & Test in Europe Conference & Exhibition, 2022

ODHD: one-class brain-inspired hyperdimensional computing for outlier detection.
Proceedings of the DAC '22: 59th ACM/IEEE Design Automation Conference, San Francisco, California, USA, July 10, 2022

Brain-Inspired Hyperdimensional Computing for Ultra-Efficient Edge AI.
Proceedings of the International Conference on Hardware/Software Codesign and System Synthesis, 2022

MoleHD: Efficient Drug Discovery using Brain Inspired Hyperdimensional Computing.
Proceedings of the IEEE International Conference on Bioinformatics and Biomedicine, 2022

Handcrafted and Neural Network Based Features for Outlier Modulation Detection.
Proceedings of the 56th Asilomar Conference on Signals, Systems, and Computers, ACSSC 2022, Pacific Grove, CA, USA, October 31, 2022

2021
Semantic Learning and Emulation Based Cross-Platform Binary Vulnerability Seeker.
IEEE Trans. Software Eng., 2021

DeepFuzzer: Accelerated Deep Greybox Fuzzing.
IEEE Trans. Dependable Secur. Comput., 2021

MoleHD: Automated Drug Discovery using Brain-Inspired Hyperdimensional Computing.
CoRR, 2021

Machine Learning-based Classification of Active Walking Tasks in Older Adults using fNIRS.
CoRR, 2021

Brief Industry Paper: HDAD: Hyperdimensional Computing-based Anomaly Detection for Automotive Sensor Attacks.
Proceedings of the 27th IEEE Real-Time and Embedded Technology and Applications Symposium, 2021

HDXplore: Automated Blackbox Testing of Brain-Inspired Hyperdimensional Computing.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2021

SpamHD: Memory-Efficient Text Spam Detection using Brain-Inspired Hyperdimensional Computing.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2021

Towards scalable, secure, and smart mission-critical IoT systems: review and vision.
Proceedings of the EMSOFT '21: Proceedings of the 2021 International Conference on Embedded Software, Virtual Event, October 8, 2021

Workload-Aware Approximate Computing Configuration.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2021

HDTest: Differential Fuzz Testing of Brain-Inspired Hyperdimensional Computing.
Proceedings of the 58th ACM/IEEE Design Automation Conference, 2021

Assessing Robustness of Hyperdimensional Computing Against Errors in Associative Memory : (Invited Paper).
Proceedings of the 32nd IEEE International Conference on Application-specific Systems, 2021

2020
LEVAX: An Input-Aware Learning-Based Error Model of Voltage-Scaled Functional Units.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020

Dynamic DAG Scheduling on Multiprocessor Systems: Reliability, Energy, and Makespan.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020

EM-Fuzz: Augmented Firmware Fuzzing via Memory Checking.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020

Introduction to the special issue on dependable cyber physical systems.
J. Syst. Archit., 2020

WoMA: An Input-Based Learning Model to Predict Dynamic Workload of Embedded Applications.
IEEE Embed. Syst. Lett., 2020

Vulnerability of Hardware Neural Networks to Dynamic Operation Point Variations.
IEEE Des. Test, 2020

Neural Network Verification through Replication.
CoRR, 2020

Fixed-Priority Scheduling and Controller Co-Design for Time-Sensitive Networks.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2020

AxR-NN: Approximate Computation Reuse for Energy-Efficient Convolutional Neural Networks.
Proceedings of the GLSVLSI '20: Great Lakes Symposium on VLSI 2020, 2020

A Machine Learning-Based Error Model of Voltage-Scaled Circuits.
Proceedings of the 50th Annual IEEE-IFIP International Conference on Dependable Systems and Networks, 2020

AxBy: Approximate Computation Bypass for Data-Intensive Applications.
Proceedings of the 23rd Euromicro Conference on Digital System Design, 2020

ICS Protocol Fuzzing: Coverage Guided Packet Crack and Generation.
Proceedings of the 57th ACM/IEEE Design Automation Conference, 2020

TEVoT: Timing Error Modeling of Functional Units under Dynamic Voltage and Temperature Variations.
Proceedings of the 57th ACM/IEEE Design Automation Conference, 2020

2019
Polar: Function Code Aware Fuzz Testing of ICS Protocol.
ACM Trans. Embed. Comput. Syst., 2019

TCAD EIC Message: February 2019.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2019

EnFuzz: Ensemble Fuzzing with Seed Synchronization among Diverse Fuzzers.
Proceedings of the 28th USENIX Security Symposium, 2019

Industry practice of coverage-guided enterprise Linux kernel fuzzing.
Proceedings of the ACM Joint Meeting on European Software Engineering Conference and Symposium on the Foundations of Software Engineering, 2019

Detecting and Bypassing Trivial Computations in Convolutional Neural Networks.
Proceedings of the IEEE/ACM International Symposium on Nanoscale Architectures, 2019

Uncertainty Theory Based Reliability-Centric Cyber-Physical System Design.
Proceedings of the 2019 International Conference on Internet of Things (iThings) and IEEE Green Computing and Communications (GreenCom) and IEEE Cyber, 2019

Engineering a Better Fuzzer with Synergically Integrated Optimizations.
Proceedings of the 30th IEEE International Symposium on Software Reliability Engineering, 2019

Energy Efficient GPU Applications Through Computation Skip.
Proceedings of the 15th IEEE International Conference on Embedded Software and Systems, 2019

DeVos: A learning-based delay model of voltage-scaled circuits: work-in-progress.
Proceedings of the International Conference on Hardware/Software Codesign and System Synthesis Companion, 2019

2018
CLIM: A Cross-Level Workload-Aware Timing Error Prediction Model for Functional Units.
IEEE Trans. Computers, 2018

EnFuzz: From Ensemble Learning to Ensemble Fuzzing.
CoRR, 2018

SAFL: increasing and accelerating testing coverage with symbolic execution and guided fuzzing.
Proceedings of the 40th International Conference on Software Engineering: Companion Proceeedings, 2018

Energy-efficient neural networks using approximate computation reuse.
Proceedings of the 2018 Design, Automation & Test in Europe Conference & Exhibition, 2018

2017
An assessment of vulnerability of hardware neural networks to dynamic voltage and temperature variations.
Proceedings of the 2017 IEEE/ACM International Conference on Computer-Aided Design, 2017

SLoT: A supervised learning model to predict dynamic timing errors of functional units.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2017

Combining structural and timing errors in overclocked inexact speculative adders.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2017

2016
WILD: A workload-based learning model to predict dynamic delay of functional units.
Proceedings of the 34th IEEE International Conference on Computer Design, 2016

2015
Supervised learning based model for predicting variability-induced timing errors.
Proceedings of the IEEE 13th International New Circuits and Systems Conference, 2015

2013
Bayesian-Network-Based Reliability Analysis of PLC Systems.
IEEE Trans. Ind. Electron., 2013

An Effective Heuristic-Based Approach for Partitioning.
J. Appl. Math., 2013

2012
Reliability Analysis of PLC Systems by Bayesian Network.
Proceedings of the Sixth International Conference on Software Security and Reliability, 2012

Uncertain Model and Algorithm for Hardware/Software Partitioning.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2012


  Loading...