Eduard Cerny

According to our database1, Eduard Cerny authored at least 77 papers between 1974 and 2005.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2005
Supporting sequential assumptions in hybrid verification.
Proceedings of the 2005 Conference on Asia South Pacific Design Automation, 2005

2004
MDG-Based State Enumeration By Retiming And Circuit Transformation.
J. Circuits Syst. Comput., 2004

Model Checking for a First-Order Temporal Logic Using Multiway Decision Graphs (MDGs).
Comput. J., 2004

2003
On the non-termination of M-based abstract state enumeration.
Theor. Comput. Sci., 2003

2002
Variable ordering on multiway decision graphs.
Proceedings of the 2002 International Symposium on Circuits and Systems, 2002

Term ordering problem on MDG.
Proceedings of the 12th ACM Great Lakes Symposium on VLSI 2002, 2002

2000
Compositional verification of an ATM switch module using interface recognizer/suppliers (IRS).
Proceedings of the IEEE International High-Level Design Validation and Test Workshop 2000, 2000

Model Reductions and a Case Study.
Proceedings of the Formal Methods in Computer-Aided Design, Third International Conference, 2000

1999
Modeling and formal verification of the Fairisle ATM switch fabricusing MDGs.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1999

Testability analysis and test-point insertion in RTL VHDL specifications for scan-based BIST.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1999

Worst case tolerance analysis and CLP-based multifrequency test generation for analog circuits.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1999

Improving Termination of MDG-Based Abstract State Enumeration via Term Schematization.
Proceedings of the First International Workshop on Symbolic Model Checking, 1999

Synthesis of checker EFSMs from timing diagram specifications.
Proceedings of the 1999 International Symposium on Circuits and Systems, ISCAS 1999, Orlando, Florida, USA, May 30, 1999

Practical Application of Formal Verification Techniques on a Frame Mux/Demux Chip from Nortel Semiconductors.
Proceedings of the Correct Hardware Design and Verification Methods, 1999

1998
Semantics and verification of action diagrams with linear timing.
ACM Trans. Design Autom. Electr. Syst., 1998

MDG-based Verification by Retiming and Combinational Transformations.
Proceedings of the 8th Great Lakes Symposium on VLSI (GLS-VLSI '98), 1998

Maximum Time Separation of Events in Cyclic Systems with Linear and Latest Timing Constraints.
Proceedings of the Formal Methods in Computer-Aided Design, 1998

Verification of Real Time Controllers Against Timing Diagram Specifications Using Constraint Logic Programming.
Proceedings of the 24th EUROMICRO '98 Conference, 1998

Propagation of Last-Transition-Time Constraints in Gate-Level Timing Analysis.
Proceedings of the 1998 Design, 1998

Synthesis of interface controllers from timing diagram specifications.
Proceedings of the IEEE 1998 Custom Integrated Circuits Conference, 1998

Model Checking for a First-Order Temporal Logic Using Multiway Decision Graphs.
Proceedings of the Computer Aided Verification, 10th International Conference, 1998

1997
Solving Linear, Min and Max Constraint Systems Using CLP Based on Relational Interval Arithmetic.
Theor. Comput. Sci., 1997

Model partitioning and the performance of distributed timewarp simulation of logic circuits.
Simul. Pract. Theory, 1997

Multiway Decision Graphs for Automated Hardware Verification.
Formal Methods Syst. Des., 1997

CLP-based Multifrequency Test Generation for Analog Circuits.
Proceedings of the 15th IEEE VLSI Test Symposium (VTS'97), 1997

Verification with Abstract State Machines Using MDGs.
Proceedings of the Formal Hardware Verification - Methods and Systems in Comparison, 1997

Interface timing verification with delay correlation using constraint logic programming.
Proceedings of the European Design and Test Conference, 1997

On the non-termination of MDGs-based abstract state enumeration.
Proceedings of the Advances in Hardware Design and Verification, 1997

1996
A recursive technique for computing lower-bound performance of schedules.
ACM Trans. Design Autom. Electr. Syst., 1996

Efficient generation of diagonal constraints for 2-D mask compaction.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1996

Optimization-based multifrequency test generation for analog circuits.
J. Electron. Test., 1996

Behavioral Verification of an ATM Switch Fabric using Implicit Abstract State Enumeration.
Proceedings of the 1996 International Conference on Computer Design (ICCD '96), 1996

Formal Verification of an ATM Switch Fabric using Multiway Decision Graphs.
Proceedings of the 6th Great Lakes Symposium on VLSI (GLS-VLSI '96), 1996

Formal Verification of the Island Tunnel Controller Using Multiway Decision Graphs.
Proceedings of the Formal Methods in Computer-Aided Design, First International Conference, 1996

Bounding Switching Activity in CMOS Circuits Using Constraint Resolution.
Proceedings of the 1996 European Design and Test Conference, 1996

MDG Tools for the Verification of RTL Designs.
Proceedings of the Computer Aided Verification, 8th International Conference, 1996

1995
Partitioning transition relations efficiently and automatically.
Proceedings of the 5th Great Lakes Symposium on VLSI (GLS-VLSI '95), 1995

State enumeration with abstract descriptions of state machines.
Proceedings of the Correct Hardware Design and Verification Methods, 1995

1994
Fault Tolerance in a Class of Sorting Networks.
IEEE Trans. Computers, 1994

Use of Fault Dropping for Multiple Fault Analysis.
IEEE Trans. Computers, 1994

Modeling Cell Processing Hardware with Action Diagrams.
Proceedings of the 1994 IEEE International Symposium on Circuits and Systems, ISCAS 1994, London, England, UK, May 30, 1994

Gate-level timing verification using waveform narrowing.
Proceedings of the Proceedings EURO-DAC'94, 1994

An Extended OBDD Representation for Extended FSMs.
Proceedings of the EDAC - The European Conference on Design Automation, ETC - European Test Conference, EUROASIC - The European Event in ASIC Design, Proceedings, February 28, 1994

Local microcode generation in system design.
Proceedings of the Code Generation for Embedded Processors [Dagstuhl Workshop, Dagstuhl, Germany, August 31, 1994

1993
On the generation of test patterns for multiple faults.
J. Electron. Test., 1993

Integrating Behavior and Timing in Executable Specifications.
Proceedings of the Computer Hardware Description Languages and their Applications, Proceedings of the 11th IFIP WG10.2 International Conference on Computer Hardware Description Languages and their Applications, 1993

1992
Accuracy of magnitude-class calculations in switch-level modeling.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1992

Algorithm for the graph-partitioning problem using a problem transformation method.
Comput. Aided Des., 1992

Verification of I/O Trace Set Inclusion for a Class of Non-Deterministic Finite State Machines.
Proceedings of the Proceedings 1992 IEEE International Conference on Computer Design: VLSI in Computer & Processors, 1992

1991
A recursive technique for computing delays in series-parallel MOS transistor circuits.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1991

A Compositional Transformation for Formal Verification.
Proceedings of the Proceedings 1991 IEEE International Conference on Computer Design: VLSI in Computer & Processors, 1991

A Stimulus/Response System Based on Hierarchical Timing Diagrams.
Proceedings of the 1991 IEEE/ACM International Conference on Computer-Aided Design, 1991

Design by similarity using transaction modeling and statistical techniques.
Proceedings of the conference on European design automation, 1991

Comparing Generic State Machines.
Proceedings of the Computer Aided Verification, 3rd International Workshop, 1991

1990
Fault-tolerance in balanced sorting networks.
J. Electron. Test., 1990

Tautology Checking Using Cross-Controllability and Cross-Observability Relations.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 1990

1989
Magnitude classes in switch-level modeling.
Proceedings of the Computer Design: VLSI in Computers and Processors, 1989

1988
Functional Description of Connector-Switch-Attenuator Networks.
IEEE Trans. Computers, 1988

An Algebraic Model for Asynchronous Circuits Verification.
IEEE Trans. Computers, 1988

Built-in self-test of a CMOS ALU.
IEEE Des. Test, 1988

A class of fault-tolerant cellular permutation networks.
Proceedings of the Computer Design: VLSI in Computers and Processors, 1988

1987
A Test Design Methodology for Protocol Testing.
IEEE Trans. Software Eng., 1987

Self-Adjusting Networks for VLSI Simulation.
IEEE Trans. Computers, 1987

CHESHIRE: An Object-Oriented Integration of VLSI CAD Tools.
Proceedings of the 24th ACM/IEEE Design Automation Conference. Miami Beach, FL, USA, June 28, 1987

1985
Simulation of MOS Circuits by Decision Diagrams.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1985

An object-oriented swicth-level simulator.
Proceedings of the 22nd ACM/IEEE conference on Design automation, 1985

1984
Built-In Testing of One-Dimensional Unilateral Iterative Arrays.
IEEE Trans. Computers, 1984

Some issues in protocol implementation testing.
Comput. Commun. Rev., 1984

Use of Formal Specifications for Protocol Design, Implementation and Testing.
Proceedings of the Protocol Specification, 1984

1983
A Class of Test Generators for Built-In Testing.
IEEE Trans. Computers, 1983

1982
Experience with Formal Specifications Using an Extended State Transition Model.
IEEE Trans. Commun., 1982

Some Experience with the Use of Formal Specifications.
Proceedings of the Protocol Specification, 1982

1979
Synthesis of Minimal Binary Decision Trees.
IEEE Trans. Computers, 1979

1978
Controllability and Fault Observability in Modular Combinational Circuits.
IEEE Trans. Computers, 1978

1977
An Approach to Unified Methodology of Combinational Switching Circuits.
IEEE Trans. Computers, 1977

1976
Comments on "Equational Logic".
IEEE Trans. Computers, 1976

1974
A Computer Algorithm for the Synthesis of Memoryless Logic Circuits.
IEEE Trans. Computers, 1974


  Loading...