Heinrich Theodor Vierhaus

Affiliations:
  • Brandenburg University of Technology, Cottbus, Germany


According to our database1, Heinrich Theodor Vierhaus authored at least 110 papers between 1988 and 2020.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2020
RESCUE: Interdependent Challenges of Reliability, Security and Quality in Nanoelectronic Systems.
Proceedings of the 2020 Design, Automation & Test in Europe Conference & Exhibition, 2020

2018
Migrating Electronic Systems from Fault Tolerant Computing to Error Resilience.
Proceedings of the Signal Processing: Algorithms, 2018

RESCUE: Cross-Sectoral PhD Training Concept for Interdependent Reliability, Security and Quality.
Proceedings of the 12th European Workshop on Microelectronics Education, 2018

Optimal Dependability and Fine Granular Error Resilience Methodology for Reconfigurable Systems.
Proceedings of the 21st Euromicro Conference on Digital System Design, 2018

2017
Test and Diagnosis of Automotive Embedded Processors via High-Speed Standard Interfaces.
J. Circuits Syst. Comput., 2017

Forward error correction in wireless communication systems for industrial applications.
Proceedings of the Signal Processing: Algorithms, 2017

The coarse and fine granular fault tolerance techniques in FPGA-based processors.
Proceedings of the Signal Processing: Algorithms, 2017

Fast power overhead prediction for hardware redundancy-based fault tolerance.
Proceedings of the 23rd IEEE International Symposium on On-Line Testing and Robust System Design, 2017

On comparison of robust configurable FPGA encoders for dependable industrial communication systems.
Proceedings of the 23rd IEEE International Symposium on On-Line Testing and Robust System Design, 2017

Fault detection and self repair in Hsiao-code FEC circuits.
Proceedings of the 20th IEEE International Symposium on Design and Diagnostics of Electronic Circuits & Systems, 2017

2016
Non-Cyclic Design Space Exploration for ASIPs - Compiler-Centered Microprocessor Design (CoMet).
J. Circuits Syst. Comput., 2016

Iterative error correction with double/triple error detection.
Proceedings of the Signal Processing: Algorithms, 2016

A comprehensive software-based self-test and self-repair method for statically scheduled superscalar processors.
Proceedings of the 17th Latin-American Test Symposium, 2016

An Interactive Design Space Exploration Tool for Dependable Integrated Circuits.
Proceedings of the 2016 Euromicro Conference on Digital System Design, 2016

Test of automotive embedded processors with high diagnostic resolution.
Proceedings of the 2016 IEEE 19th International Symposium on Design and Diagnostics of Electronic Circuits & Systems (DDECS), 2016

2015
Error resilience in nano-electronic digital circuits and systems.
Proceedings of the Signal Processing: Algorithms, 2015

A multi-layer software-based fault-tolerance approach for heterogenous multi-core systems.
Proceedings of the 16th Latin-American Test Symposium, 2015

Multiple fault testing in systems-on-chip with high-level decision diagrams.
Proceedings of the 10th International Design & Test Symposium, 2015

Fehlertolerante und energieeffiziente eingebettete Systeme: Methoden und Anwendungen.
Proceedings of the 45. Jahrestagung der Gesellschaft für Informatik, Informatik, Energie und Umwelt, INFORMATIK 2015, Cottbus, Germany, September 28, 2015

Doktorandenprogramm der INFORMATIK 2015.
Proceedings of the 45. Jahrestagung der Gesellschaft für Informatik, Informatik, Energie und Umwelt, INFORMATIK 2015, Cottbus, Germany, September 28, 2015

Test eingebetteter Prozessoren im Zielsystem mit hoher diagnostischer Auflösung.
Proceedings of the 45. Jahrestagung der Gesellschaft für Informatik, Informatik, Energie und Umwelt, INFORMATIK 2015, Cottbus, Germany, September 28, 2015

Detection and Correction of Logic Errors Using Extra Time Slots.
Proceedings of the 45. Jahrestagung der Gesellschaft für Informatik, Informatik, Energie und Umwelt, INFORMATIK 2015, Cottbus, Germany, September 28, 2015

Compiler-Centred Microprocessor Design (CoMet) - From C-Code to a VHDL Model of an ASIP.
Proceedings of the 18th IEEE International Symposium on Design and Diagnostics of Electronic Circuits & Systems, 2015

Combining Correction of Delay Faults and Transient Faults.
Proceedings of the 18th IEEE International Symposium on Design and Diagnostics of Electronic Circuits & Systems, 2015

Redundancy evaluation process of processor components for permanent fault compensation.
Proceedings of the 2015 NASA/ESA Conference on Adaptive Hardware and Systems, 2015

2014
Vergleich der Beschreibung und Simulation einer Befehlssatzarchitektur in LISA und CoMet.
Proceedings of the Methoden und Beschreibungssprachen zur Modellierung und Verifikation von Schaltungen und Systemen, 2014

Timing for virtual TMR in logic circuits.
Proceedings of the 2014 IEEE 20th International On-Line Testing Symposium, 2014

Advanced technical education in the age of cyber physical systems.
Proceedings of the 10th European Workshop on Microelectronics Education (EWME), 2014

Systematic generation of diagnostic software-based self-test routines for processor components.
Proceedings of the 19th IEEE European Test Symposium, 2014

Reconfigurable high performance architectures: How much are they ready for safety-critical applications?
Proceedings of the 19th IEEE European Test Symposium, 2014

Diagnostic self-test for dynamically scheduled superscalar processors based on reconfiguration techniques for handling permanent faults.
Proceedings of the 2014 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2014

Combining fault tolerance and self repair at minimum cost in power and hardware.
Proceedings of the 17th International Symposium on Design and Diagnostics of Electronic Circuits & Systems, 2014

2013
Towards a Graceful Degradable Multicore-System by Hierarchical Handling of Hard Errors.
Proceedings of the 21st Euromicro International Conference on Parallel, 2013

Ein konfigurierbarer Zwischencodesimulator zum compilerzentrierten Mikroprozessorentwurf.
Proceedings of the Methoden und Beschreibungssprachen zur Modellierung und Verifikation von Schaltungen und Systemen (MBMV), 2013

Towards an automatic generation of diagnostic in-field SBST for processor components.
Proceedings of the 14th Latin American Test Workshop, 2013

Virtual TMR Schemes Combining Fault Tolerance and Self Repair.
Proceedings of the 2013 Euromicro Conference on Digital System Design, 2013

On performance estimation of a scalable VLIW soft-core in XILINX FPGAs.
Proceedings of the 16th IEEE International Symposium on Design and Diagnostics of Electronic Circuits & Systems, 2013

On the feasibility of combining on-line-test and self repair for logic circuits.
Proceedings of the 16th IEEE International Symposium on Design and Diagnostics of Electronic Circuits & Systems, 2013

2012
Activity Migration in M-of-N-Systems by Means of Load-Balancing.
Proceedings of the 15th Euromicro Conference on Digital System Design, 2012

Scan Based Tests via Standard Interfaces.
Proceedings of the 15th Euromicro Conference on Digital System Design, 2012

An adaptive self-test routine for in-field diagnosis of permanent faults in simple RISC cores.
Proceedings of the IEEE 15th International Symposium on Design and Diagnostics of Electronic Circuits & Systems, 2012

Combining on-line fault detection and logic self repair.
Proceedings of the IEEE 15th International Symposium on Design and Diagnostics of Electronic Circuits & Systems, 2012

Hierarchical Self-repair in Heterogeneous Multi-core Systems by Means of a Software-based Reconfiguration.
Proceedings of the ARCS 2012 Workshops, 28. Februar - 2. März 2012, München, Germany, 2012

2011
On the Feasibility of Built-In Self Repair for Logic Circuits.
Proceedings of the 2011 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2011

A new hierarchical built-in self-test with on-chip diagnosis for VLIW processors.
Proceedings of the 14th IEEE International Symposium on Design and Diagnostics of Electronic Circuits & Systems, 2011

Optimal spare utilization for reliability and mean lifetime improvement of logic built-in self-repair.
Proceedings of the 14th IEEE International Symposium on Design and Diagnostics of Electronic Circuits & Systems, 2011

2010
Test Data and Power Reductions for Transition Delay Tests for Massive-Parallel Scan Structures.
Proceedings of the 13th Euromicro Conference on Digital System Design, 2010

Combining de-stressing and self repair for long-term dependable systems.
Proceedings of the 13th IEEE International Symposium on Design and Diagnostics of Electronic Circuits and Systems, 2010

A software-based self-test and hardware reconfiguration solution for VLIW processors.
Proceedings of the 13th IEEE International Symposium on Design and Diagnostics of Electronic Circuits and Systems, 2010

2009
A Concept for Logic Self Repair.
Proceedings of the 12th Euromicro Conference on Digital System Design, 2009

Reliability Estimation Process.
Proceedings of the 12th Euromicro Conference on Digital System Design, 2009

A scheme of logic self repair including local interconnects.
Proceedings of the 2009 IEEE Symposium on Design and Diagnostics of Electronic Circuits and Systems, 2009

2008
Simulated fault injections and their acceleration in SystemC.
Microprocess. Microsystems, 2008

A Scan Controller Concept for Low Power Scan Tests.
J. Low Power Electron., 2008

Basic Architecture for Logic Self Repair.
Proceedings of the 14th IEEE International On-Line Testing Symposium (IOLTS 2008), 2008

Embedded Diagnostic Logic Test Exploiting Regularity.
Proceedings of the 11th Euromicro Conference on Digital System Design: Architectures, 2008

2007
A Configurable Modular Test Processor and Scan Controller Architecture.
Proceedings of the 13th IEEE International On-Line Testing Symposium (IOLTS 2007), 2007

Timing- / Power-Optimization for Digital Logic Based on Standard Cells.
Proceedings of the Tenth Euromicro Conference on Digital System Design: Architectures, 2007

Fault Injection Techniques and their Accelerated Simulation in SystemC.
Proceedings of the Tenth Euromicro Conference on Digital System Design: Architectures, 2007

Flip-Flops and Scan-Path Elements for Nanoelectronics.
Proceedings of the 10th IEEE Workshop on Design & Diagnostics of Electronic Circuits & Systems (DDECS 2007), 2007

2006
Timing-/Power-getriebener Layout-Entwurf für Zellen-basierte Digitalschaltungen.
Proceedings of the Methoden und Beschreibungssprachen zur Modellierung und Verifikation von Schaltungen und Systemen (MBMV), 2006

Built-in Self Repair by Reconfiguration of FPGAs.
Proceedings of the 12th IEEE International On-Line Testing Symposium (IOLTS 2006), 2006

Embedded Scan Test with Diagnostic Features for Self-Testing SoCs.
Proceedings of the 12th IEEE International On-Line Testing Symposium (IOLTS 2006), 2006

A Mixed Language Fault Simulation of VHDL and SystemC.
Proceedings of the Ninth Euromicro Conference on Digital System Design: Architectures, Methods and Tools (DSD 2006), 30 August, 2006

Scan-Based SoC Test Using Space / Time Pattern Compaction Schemes.
Proceedings of the Ninth Euromicro Conference on Digital System Design: Architectures, Methods and Tools (DSD 2006), 30 August, 2006

Embedded Self Repair by Transistor and Gate Level Reconfiguration.
Proceedings of the 9th IEEE Workshop on Design & Diagnostics of Electronic Circuits & Systems (DDECS 2006), 2006

Hardware/Software Based Hierarchical Self Test for SoCs.
Proceedings of the 9th IEEE Workshop on Design & Diagnostics of Electronic Circuits & Systems (DDECS 2006), 2006

Evaluating coverage of error detection logic for soft errors using formal methods.
Proceedings of the Conference on Design, Automation and Test in Europe, 2006

Logic Self Repair.
Proceedings of the ARCS 2006, 2006

2005
Synchronization Fault Cryptanalysis for Breaking A5/1.
Proceedings of the Experimental and Efficient Algorithms, 4th InternationalWorkshop, 2005

A Multi-Purpose Concept for SoC Self Test Including Diagnostic Features.
Proceedings of the 11th IEEE International On-Line Testing Symposium (IOLTS 2005), 2005

Power-/Timing - Optimierung für Zellen-basierte Digitalschaltungen in Submikron-Technologien.
Proceedings of the 35. Jahrestagung der Gesellschaft für Informatik, 2005

2004
FIT - A Parallel Hierarchical Fault Simulation Environment.
Proceedings of the 2004 International Conference on Parallel Computing in Electrical Engineering (PARELEC 2004), 2004

A Hierarchical Self Test Scheme for SoCs.
Proceedings of the 10th IEEE International On-Line Testing Symposium (IOLTS 2004), 2004

2003
On-Line Techniques for Error Detection and Correction in Processor Registers with Cross-Parity Check.
J. Electron. Test., 2003

Control Signal Protection For High Performance Processors.
Proceedings of the 9th IEEE International On-Line Testing Symposium (IOLTS 2003), 2003

Perspectives of Combining on-line and off-line Test Technology for Dependable Systems on a Chip.
Proceedings of the 9th IEEE International On-Line Testing Symposium (IOLTS 2003), 2003

2002
On-Line Error Detection and Correction in Storage Elements with Cross-Parity Check.
Proceedings of the 8th IEEE International On-Line Testing Workshop (IOLTW 2002), 2002

On-line Detection and Compensation of Transient Errors in Processor Pipeline-Structures.
Proceedings of the 8th IEEE International On-Line Testing Workshop (IOLTW 2002), 2002

A Test Processor Concept for Systems-on-a-Chip.
Proceedings of the 20th International Conference on Computer Design (ICCD 2002), 2002

2001
Online Check and Recovery Techniques for Dependable Embedded Processors.
IEEE Micro, 2001

On-line Error Detection Techniques for Dependable Embedded Processors with High Complexity.
Proceedings of the 7th IEEE International On-Line Testing Workshop (IOLTW 2001), 2001

A register-transfer-level fault simulator for permanent and transient faults in embedded processors.
Proceedings of the Conference on Design, Automation and Test in Europe, 2001

2000
A new method for on-line state machine observation for embedded microprocessors.
Proceedings of the IEEE International High-Level Design Validation and Test Workshop 2000, 2000

1999
SymFony: a hybrid topological-symbolic ATPG exploiting RT-level information.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1999

An efficient on-line-test and back-up scheme for embedded processors.
Proceedings of the Proceedings IEEE International Test Conference 1999, 1999

1998
Generating reliable embedded processors.
IEEE Micro, 1998

1997
Partitioning and analysis of static digital CMOS circuits.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1997

A Parallel Approach Solving the Test Generation Problem for Synchronous Sequential Circuits.
Proceedings of the Parallel Computing: Fundamentals, 1997

An Efficient Dynamic Parallel Approach to Automatic Test Pattern Generation.
Proceedings of the 7th Great Lakes Symposium on VLSI (GLS-VLSI '97), 1997

1996
Mixed level test generation for synchronous sequential circuits using the FOGBUSTER algorithm.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1996

Automatic Test Pattern Generation with Optimal Load Balancing.
Proceedings of the Parallel Virtual Machine, 1996

A Design Exploration Environment.
Proceedings of the 6th Great Lakes Symposium on VLSI (GLS-VLSI '96), 1996

1995
Improving topological ATPG with symbolic techniques.
Proceedings of the 13th IEEE VLSI Test Symposium (VTS'95), April 30, 1995

FOGBUSTER: an efficient algorithm for sequential test generation.
Proceedings of the Proceedings EURO-DAC'95, 1995

Gate delay fault test generation for non-scan circuits.
Proceedings of the 1995 European Design and Test Conference, 1995

1994
Testability Analysis for Test Generation in Synchronous Sequential Circuits.
Proceedings of the Proceedings 1994 IEEE International Conference on Computer Design: VLSI in Computer & Processors, 1994

Test generation for bridging faults in CMOS ICs based on current monitoring versus signal propagation.
Proceedings of the 1994 IEEE/ACM International Conference on Computer-Aided Design, 1994

Local microcode generation in system design.
Proceedings of the Code Generation for Embedded Processors [Dagstuhl Workshop, Dagstuhl, Germany, August 31, 1994

1993
Fault behavior and testability of asynchronous CMOS circuits.
Microprocess. Microprogramming, 1993

CMOS Bridges and Resistive Transistor Faults: I<sub>DDQ</sub> versus Delay Effects.
Proceedings of the Proceedings IEEE International Test Conference 1993, Designing, Testing, and Diagnostics, 1993

1992
CMOS transistor faults and bridging faults: Testability by delay effects and overcurrents.
Microprocess. Microprogramming, 1992

Mixed Level Hierarchical Test Generation for Transition Faults and Overcurrent Related Defects.
Proceedings of the Proceedings IEEE International Test Conference 1992, 1992

Library Mapping of CMOS-Switch-Level-Circuits by Extraction of Isomorphic Subgraphs.
Proceedings of the Proceedings 1992 IEEE International Conference on Computer Design: VLSI in Computer & Processors, 1992

Efficient partitioning and analysis of digital CMOS-circuits.
Proceedings of the 1992 IEEE/ACM International Conference on Computer-Aided Design, 1992

MILEF: an efficient approach to mixed level automatic test pattern generation.
Proceedings of the conference on European design automation, 1992

1991
Mixed level test generation for high fault coverage.
Microprocessing and Microprogramming, 1991

1990
CMOS layout generation for improved testability.
Microprocessing and Microprogramming, 1990

1989
Testability of non-trivial CMOS faults under realistic conditions.
Microprocessing and Microprogramming, 1989

1988
CMOS fault modeling, test generation and design for testability.
Microprocess. Microprogramming, 1988


  Loading...