Evgeny Bolotin

According to our database1, Evgeny Bolotin authored at least 25 papers between 2004 and 2022.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2022
GPU Domain Specialization via Composable On-Package Architecture.
ACM Trans. Archit. Code Optim., 2022

2021
Need for Speed: Experiences Building a Trustworthy System-Level GPU Simulator.
Proceedings of the IEEE International Symposium on High-Performance Computer Architecture, 2021

2020
The Architectural Implications of Distributed Reinforcement Learning on CPU-GPU Systems.
CoRR, 2020

HMG: Extending Cache Coherence Protocols Across Modern Hierarchical Multi-GPU Systems.
Proceedings of the IEEE International Symposium on High Performance Computer Architecture, 2020

2019
Understanding the Future of Energy Efficiency in Multi-Module GPUs.
Proceedings of the 25th IEEE International Symposium on High Performance Computer Architecture, 2019

2018
Combining HW/SW Mechanisms to Improve NUMA Performance of Multi-GPU Systems.
Proceedings of the 51st Annual IEEE/ACM International Symposium on Microarchitecture, 2018

2017
Beyond the socket: NUMA-aware GPUs.
Proceedings of the 50th Annual IEEE/ACM International Symposium on Microarchitecture, 2017

MCM-GPU: Multi-Chip-Module GPUs for Continued Performance Scalability.
Proceedings of the 44th Annual International Symposium on Computer Architecture, 2017

2016
CLARA: Circular Linked-List Auto and Self Refresh Architecture.
Proceedings of the Second International Symposium on Memory Systems, 2016

A case for toggle-aware compression for GPU systems.
Proceedings of the 2016 IEEE International Symposium on High Performance Computer Architecture, 2016

2015
Designing Efficient Heterogeneous Memory Architectures.
IEEE Micro, 2015

Toggle-Aware Compression for GPUs.
IEEE Comput. Archit. Lett., 2015

Anatomy of GPU Memory System for Multi-Application Execution.
Proceedings of the 2015 International Symposium on Memory Systems, 2015

2014
Scaling the Power Wall: A Path to Exascale.
Proceedings of the International Conference for High Performance Computing, 2014

Application-aware Memory System for Fair and Efficient Execution of Concurrent GPGPU Applications.
Proceedings of the Seventh Workshop on General Purpose Processing Using GPUs, 2014

2012
Exploring the limits of GPGPU scheduling in control flow bound applications.
ACM Trans. Archit. Code Optim., 2012

2009
Many-Core vs. Many-Thread Machines: Stay Away From the Valley.
IEEE Comput. Archit. Lett., 2009

2007
Network Delays and Link Capacities in Application-Specific Wormhole NoCs.
VLSI Design, 2007

The Power of Priority: NoC Based Distributed Cache Coherency.
Proceedings of the First International Symposium on Networks-on-Chips, 2007

Routing table minimization for irregular mesh NoCs.
Proceedings of the 2007 Design, Automation and Test in Europe Conference and Exposition, 2007

2006
Efficient link capacity and QoS design for network-on-chip.
Proceedings of the Conference on Design, Automation and Test in Europe, 2006

2004
QNoC: QoS architecture and design process for network on chip.
J. Syst. Archit., 2004

Cost considerations in network on chip.
Integr., 2004

Micro-modem - reliability solution for NoC communications.
Proceedings of the 2004 11th IEEE International Conference on Electronics, 2004

Automatic hardware-efficient SoC integration by QoS network on chip.
Proceedings of the 2004 11th IEEE International Conference on Electronics, 2004


  Loading...