Avi Mendelson

Orcid: 0000-0003-4274-6866

According to our database1, Avi Mendelson authored at least 118 papers between 1991 and 2023.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2023
Teaching computing for complex problems in civil engineering and geosciences using big data and machine learning: synergizing four different computing paradigms and four different management domains.
J. Big Data, December, 2023

Adversarial robustness via noise injection in smoothed models.
Appl. Intell., April, 2023

Weisfeiler and Leman Go Infinite: Spectral and Combinatorial Pre-Colorings.
Trans. Mach. Learn. Res., 2023

Research in computing-intensive simulations for nature-oriented civil-engineering and related scientific fields, using machine learning and big data: an overview of open problems.
J. Big Data, 2023

Towards Open Scan for the Open-source Hardware.
IACR Cryptol. ePrint Arch., 2023

SCART: Simulation of Cyber Attacks for Real-Time.
CoRR, 2023

A RISC-V SoC with Hardware Trojans: Case Study on Trojan-ing the On-Chip Protocol Conversion.
Proceedings of the 31st IFIP/IEEE International Conference on Very Large Scale Integration, 2023

The Use of Performance-Countersto Perform Side-Channel Attacks.
Proceedings of the Cyber Security, Cryptology, and Machine Learning, 2023

Exploring the Limitations of the Property-based Hardware-Trojan Detection Methods.
Proceedings of the IEEE International Conference on Omni-layer Intelligent Systems, 2023

2022
A Design Flow and Tool for Avoiding Asymmetric Aging.
IEEE Des. Test, 2022

FBM: Fast-Bit Allocation for Mixed-Precision Quantization.
CoRR, 2022

Bimodal Distributed Binarized Neural Networks.
CoRR, 2022

On Recoverability of Graph Neural Network Representations.
CoRR, 2022

Contrast to Divide: Self-Supervised Pre-Training for Learning with Noisy Labels.
Proceedings of the IEEE/CVF Winter Conference on Applications of Computer Vision, 2022

2021
Loss aware post-training quantization.
Mach. Learn., 2021

CAT: Compression-Aware Training for bandwidth reduction.
J. Mach. Learn. Res., 2021

A survey of algorithmic methods in IC reverse engineering.
J. Cryptogr. Eng., 2021

On Threat of Hardware Trojan to Post-Quantum Lattice-Based Schemes: A Key Recovery Attack on SABER and Beyond.
Proceedings of the Security, Privacy, and Applied Cryptography Engineering, 2021

Sandbox Detection Using Hardware Side Channels.
Proceedings of the 22nd International Symposium on Quality Electronic Design, 2021

2020
A Metric-Guided Method for Discovering Impactful Features and Architectural Insights for Skylake-Based Processors.
ACM Trans. Archit. Code Optim., 2020

Towards Designing a Secure RISC-V System-on-Chip: ITUS.
J. Hardw. Syst. Secur., 2020

Asymmetric Aging Effect on Modern Microprocessors.
CoRR, 2020

Self-Supervised Learning for Large-Scale Unsupervised Image Clustering.
CoRR, 2020

Electromigration-Aware Architecture for Modern Microprocessors.
CoRR, 2020

HCM: Hardware-Aware Complexity Metric for Neural Network Architectures.
CoRR, 2020

Colored Noise Injection for Training Adversarially Robust Neural Networks.
CoRR, 2020

Secure Your SoC: Building System-an-Chip Designs for Security.
Proceedings of the 33rd IEEE International System-on-Chip Conference, 2020

FlexWatts: A Power- and Workload-Aware Hybrid Power Delivery Network for Energy-Efficient Microprocessors.
Proceedings of the 53rd Annual IEEE/ACM International Symposium on Microarchitecture, 2020

Feature Map Transform Coding for Energy-Efficient CNN Inference.
Proceedings of the 2020 International Joint Conference on Neural Networks, 2020

2019
UNIQ: Uniform Noise Injection for Non-Uniform Quantization of Neural Networks.
ACM Trans. Comput. Syst., 2019

Memory-Side Protection With a Capability Enforcement Co-Processor.
ACM Trans. Archit. Code Optim., 2019

Energy oriented EDF for real-time systems.
Int. J. Embed. Syst., 2019

Smoothed Inference for Adversarially-Trained Models.
CoRR, 2019

Towards Learning of Filter-Level Heterogeneous Compression of Convolutional Neural Networks.
CoRR, 2019

Security and Privacy in the Age of Big Data and Machine Learning.
Computer, 2019

Rack-Scale Capabilities: Fine-Grained Protection for Large-Scale Memories.
Computer, 2019

Tuning Performance via Metrics with Expectations.
IEEE Comput. Archit. Lett., 2019

Secure Speculative Core.
Proceedings of the 32nd IEEE International System-on-Chip Conference, 2019

ITUS: A Secure RISC-V System-on-Chip.
Proceedings of the 32nd IEEE International System-on-Chip Conference, 2019

A Comprehensive Evaluation of Power Delivery Schemes for Modern Microprocessors.
Proceedings of the 20th International Symposium on Quality Electronic Design, 2019

SoK: An Overview of Algorithmic Methods in IC Reverse Engineering.
Proceedings of the 3rd ACM Workshop on Attacks and Solutions in Hardware Security Workshop, 2019

Recruiting Fault Tolerance Techniques for Microprocessor Security.
Proceedings of the 28th IEEE Asian Test Symposium, 2019

2018
MIA: Metric Importance Analysis for Big Data Workload Characterization.
IEEE Trans. Parallel Distributed Syst., 2018

Minimum-Weight Link-Disjoint Node-"Somewhat Disjoint" Paths.
IEEE/ACM Trans. Netw., 2018

Efficient non-uniform quantizer for quantized neural network targeting reconfigurable hardware.
CoRR, 2018

NICE: Noise Injection and Clamping Estimation for Neural Network Quantization.
CoRR, 2018

UNIQ: Uniform Noise Injection for the Quantization of Neural Networks.
CoRR, 2018

Rebooting Computers to Avoid Meltdown and Spectre.
Computer, 2018

Streaming Architecture for Large-Scale Quantized Neural Networks on an FPGA-Based Dataflow Platform.
Proceedings of the 2018 IEEE International Parallel and Distributed Processing Symposium Workshops, 2018

2017
Using Scan Side Channel to Detect IP Theft.
IEEE Trans. Very Large Scale Integr. Syst., 2017

SPACE: Semi-Partitioned CachE for Energy Efficient, Hard Real-Time Systems.
IEEE Trans. Computers, 2017

Streaming Architecture for Large-Scale Quantized Neural Networks on an FPGA-Based Dataflow Platform.
CoRR, 2017

Extending Amdahl's Law for Multicores with Turbo Boost.
IEEE Comput. Archit. Lett., 2017

ScaleSimulator: A Fast and Cycle-Accurate Parallel Simulator for Architectural Exploration.
Proceedings of the 10th EAI International Conference on Simulation Tools and Techniques, 2017

Revealing On-chip Proprietary Security Functions with Scan Side Channel Based Reverse Engineering.
Proceedings of the on Great Lakes Symposium on VLSI 2017, 2017

2016
Fine-Grain Power Breakdown of Modern Out-of-Order Cores and Its Implications on Skylake-Based Systems.
ACM Trans. Archit. Code Optim., 2016

Architectural Support for Fault Tolerance in a Teradevice Dataflow System.
Int. J. Parallel Program., 2016

H-EARtH: Heterogeneous Multicore Platform Energy Management.
Computer, 2016

GPUpIO: the case for I/O-driven preemption on GPUs.
Proceedings of the 9th Annual Workshop on General Purpose Processing using Graphics Processing Unit, 2016

Using Scan Side Channel for Detecting IP Theft.
Proceedings of the Hardware and Architectural Support for Security and Privacy 2016, 2016

Optimal link-disjoint node-"somewhat disjoint" paths.
Proceedings of the 24th IEEE International Conference on Network Protocols, 2016

2015
Power and thermal constraints of modern system-on-a-chip computer.
Microelectron. J., 2015

Peripheral Memory: A Technique for Fighting Memory Bandwidth Bottleneck.
IEEE Comput. Archit. Lett., 2015

Hardware Transactions in Nonvolatile Memory.
Proceedings of the Distributed Computing - 29th International Symposium, 2015

Establishing a Base of Trust with Performance Counters for Enterprise Workloads.
Proceedings of the 2015 USENIX Annual Technical Conference, 2015

The Impact of Hypervisor Scheduling on Compromising Virtualized Environments.
Proceedings of the 15th IEEE International Conference on Computer and Information Technology, 2015

2014
TERAFLUX: Harnessing dataflow in next generation teradevices.
Microprocess. Microsystems, 2014

Energy Aware Race to Halt: A Down to EARtH Approach for Platform Energy Management.
IEEE Comput. Archit. Lett., 2014

Energy management of highly dynamic server workloads in an heterogeneous data center.
Proceedings of the 24th International Workshop on Power and Timing Modeling, 2014

Deep-dive analysis of the data analytics workload in CloudSuite.
Proceedings of the 2014 IEEE International Symposium on Workload Characterization, 2014

Batch Method for Efficient Resource Sharing in Real-Time Multi-GPU Systems.
Proceedings of the Distributed Computing and Networking - 15th International Conference, 2014

Scheduling periodic real-time communication in multi-GPU systems.
Proceedings of the 23rd International Conference on Computer Communication and Networks, 2014

2013

Data-Parallel Computing Meets STRIPS.
Proceedings of the Twenty-Seventh AAAI Conference on Artificial Intelligence, 2013

2012
Exploring the limits of GPGPU scheduling in control flow bound applications.
ACM Trans. Archit. Code Optim., 2012

Scheduling processing of real-time data streams on heterogeneous multi-GPU systems.
Proceedings of the 5th Annual International Systems and Storage Conference, 2012

Topic 4: High-Performance Architecture and Compilers.
Proceedings of the Euro-Par 2012 Parallel Processing - 18th International Conference, 2012

2011
DiDi: Mitigating the Performance Impact of TLB Shootdowns Using a Shared TLB Directory.
Proceedings of the 2011 International Conference on Parallel Architectures and Compilation Techniques, 2011

2010
Using Underutilized CPU Resources to Enhance Its Reliability.
IEEE Trans. Dependable Secur. Comput., 2010

Threads vs. caches: Modeling the behavior of parallel workloads.
Proceedings of the 28th International Conference on Computer Design, 2010

2009
Service level agreement for multithreaded processors.
ACM Trans. Archit. Code Optim., 2009

Many-Core vs. Many-Thread Machines: Stay Away From the Valley.
IEEE Comput. Archit. Lett., 2009

Programming model for a heterogeneous x86 platform.
Proceedings of the 2009 ACM SIGPLAN Conference on Programming Language Design and Implementation, 2009

Multiple clock and voltage domains for chip multi processors.
Proceedings of the 42st Annual IEEE/ACM International Symposium on Microarchitecture (MICRO-42 2009), 2009

2008
Dependable Embedded Systems Special Day Panel: Issues and Challenges in Dependable Embedded Systems.
Proceedings of the Design, Automation and Test in Europe, 2008

2007
A Programming Model and Architectural Extensions for Fine-Grain Parallelism.
Proceedings of the Handbook of Parallel Computing - Models, Algorithms and Applications., 2007

Trace cache sampling filter.
ACM Trans. Comput. Syst., 2007

Fairness enforcement in switch on event multithreading.
ACM Trans. Archit. Code Optim., 2007

Using fine grain multithreading for energy efficient computing.
Proceedings of the 12th ACM SIGPLAN Symposium on Principles and Practice of Parallel Programming, 2007

Current trends in computer architectures: multi-cores, many-cores and special-cores.
Proceedings of the 21th Annual International Conference on Supercomputing, 2007

Code Compilation for an Explicitly Parallel Register-Sharing Architecture.
Proceedings of the 2007 International Conference on Parallel Processing (ICPP 2007), 2007

2006
Inthreads: a low granularity parallelization model.
SIGARCH Comput. Archit. News, 2006

A PAB-Based Multi-Prefetcher Mechanism.
Int. J. Parallel Program., 2006

Fairness and Throughput in Switch on Event Multithreading.
Proceedings of the 39th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO-39 2006), 2006

Memory management challenges in the power-aware computing era.
Proceedings of the 5th International Symposium on Memory Management, 2006

Speculative synchronization and thread management for fine granularity threads.
Proceedings of the 12th International Symposium on High-Performance Computer Architecture, 2006

2004
Power Awareness through Selective Dynamically Optimized Traces.
Proceedings of the 31st International Symposium on Computer Architecture (ISCA 2004), 2004

2003
Micro-operation cache: a power aware frontend for variable instruction length ISA.
IEEE Trans. Very Large Scale Integr. Syst., 2003

On Estimating Optimal Performance of CPU Dynamic Thermal Management.
IEEE Comput. Archit. Lett., 2003

PARROT: Power Awareness Through Selective Dynamically Optimized Traces.
Proceedings of the Power-Aware Computer Systems, Third International Workshop, 2003

2001
The effect of seance communication on multiprocessing systems.
ACM Trans. Comput. Syst., 2001

Dynamic techniques for load and load-use scheduling.
Proc. IEEE, 2001

Coming challenges in microarchitecture and architecture.
Proc. IEEE, 2001

Design of a parallel interconnect based on communication pattern considerations.
Parallel Algorithms Appl., 2001

Filtering Techniques to Improve Trace-Cache Efficiency.
Proceedings of the 2001 International Conference on Parallel Architectures and Compilation Techniques (PACT 2001), 2001

2000
Designing High-Performance & Reliable Superscalar Architectures: The out of Order Reliable Superscalar (O3RS) Approach.
Proceedings of the 2000 International Conference on Dependable Systems and Networks (DSN 2000) (formerly FTCS-30 and DCCA-8), 2000

1999
The "Smart" simulation environment - A tool-set to develop new cache coherency protocols.
J. Syst. Archit., 1999

Design Alternatives of Multithreaded Architecture.
Int. J. Parallel Program., 1999

1998
Using Value Prediction to Increase the Power of Speculative Execution Hardware.
ACM Trans. Comput. Syst., 1998

Improving achievable ILP through value prediction and program profiling.
Microprocess. Microsystems, 1998

The Effect of Instruction Fetch Bandwidth on Value Prediction.
Proceedings of the 25th Annual International Symposium on Computer Architecture, 1998

1997
Can Program Profiling Support Value Prediction?
Proceedings of the Thirtieth Annual IEEE/ACM International Symposium on Microarchitecture, 1997

Smart: An Advanced Shared-Memory Simulator - Towards a System-Level Simulation Environmen.
Proceedings of the MASCOTS 1997, 1997

Cache based fault recovery for distributed systems.
Proceedings of the 3rd IEEE International Conference on Engineering of Complex Computer Systems (ICECCS '97), 1997

1996
Performance and hardware complexity tradeoffs in designing multithreaded architectures.
Proceedings of the Fifth International Conference on Parallel Architectures and Compilation Techniques, 1996

1995
A performance analysis of Pentium processor systems.
IEEE Micro, 1995

1994
Toward a General-Purpose Multi-Stream System.
Proceedings of the Parallel Architectures and Compilation Techniques, 1994

1991
BDG-torus union graph-an efficient algorithmically specializedparallel interconnect.
Proceedings of the Third IEEE Symposium on Parallel and Distributed Processing, 1991


  Loading...