Ran Ginosar

According to our database1, Ran Ginosar authored at least 145 papers between 1981 and 2022.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2022
GIRAF: General Purpose In-Storage Resistive Associative Framework.
IEEE Trans. Parallel Distributed Syst., 2022

2021
A survey of algorithmic methods in IC reverse engineering.
J. Cryptogr. Eng., 2021

2020
BioSEAL: In-Memory Biological Sequence Alignment Accelerator for Large-Scale Genomic Data.
Proceedings of the SYSTOR 2020: The 13th ACM International Systems and Storage Conference, 2020

WoLFRaM: Enhancing Wear-Leveling and Fault Tolerance in Resistive Memories using Programmable Address Decoders.
Proceedings of the 38th IEEE International Conference on Computer Design, 2020

2019
Adaptive programming in multi-level cell ReRAM.
Microelectron. J., 2019

RASSA: Resistive Prealignment Accelerator for Approximate DNA Long Read Mapping.
IEEE Micro, 2019

AIDA: Associative DNN Inference Accelerator.
CoRR, 2019

SoK: An Overview of Algorithmic Methods in IC Reverse Engineering.
Proceedings of the 3rd ACM Workshop on Attacks and Solutions in Hardware Security Workshop, 2019

POSTER: GIRAF: General Purpose In-Storage Resistive Associative Framework.
Proceedings of the 28th International Conference on Parallel Architectures and Compilation Techniques, 2019

POSTER: BioSEAL: In-Memory Biological Sequence Alignment Accelerator for Large-Scale Genomic Data.
Proceedings of the 28th International Conference on Parallel Architectures and Compilation Techniques, 2019

2018
Enabling Full Associativity with Memristive Address Decoder.
IEEE Micro, 2018

RASSA: Resistive Accelerator for Approximate Long Read DNA Mapping.
CoRR, 2018

PRINS: Resistive CAM Processing in Storage.
CoRR, 2018

Accelerator for Sparse Machine Learning.
IEEE Comput. Archit. Lett., 2018

2017
Using Scan Side Channel to Detect IP Theft.
IEEE Trans. Very Large Scale Integr. Syst., 2017

From Processing-in-Memory to Processing-in-Storage.
Supercomput. Front. Innov., 2017

A Resistive CAM Processing-in-Storage Architecture for DNA Sequence Alignment.
IEEE Micro, 2017

A 1.4×FO4 self-clocked asynchronous serial link in 0.18 µm for intrachip communication.
Integr., 2017

MultiAmdahl: Optimal Resource Allocation in Heterogeneous Architectures.
CoRR, 2017

Sparse Matrix Multiplication on CAM Based Accelerator.
CoRR, 2017

Resistive Address Decoder.
IEEE Comput. Archit. Lett., 2017

Revealing On-chip Proprietary Security Functions with Scan Side Channel Based Reverse Engineering.
Proceedings of the on Great Lakes Symposium on VLSI 2017, 2017

2016
The Effect of Temperature on Amdahl Law in 3D Multicore Era.
IEEE Trans. Computers, 2016

Resistive GP-SIMD Processing-In-Memory.
ACM Trans. Archit. Code Optim., 2016

Convex Optimization of Real Time SoC.
CoRR, 2016

Effect of Data Sharing on Private Cache Design in Chip Multiprocessors.
CoRR, 2016

H-EARtH: Heterogeneous Multicore Platform Energy Management.
Computer, 2016

Deduplication in resistive content addressable memory based solid state drive.
Proceedings of the 26th International Workshop on Power and Timing Modeling, 2016

Using Scan Side Channel for Detecting IP Theft.
Proceedings of the Hardware and Architectural Support for Security and Privacy 2016, 2016

2015
A Model for Supply Voltage and Temperature Variation Effects on Synchronizer Performance.
IEEE Trans. Very Large Scale Integr. Syst., 2015

Eleven Ways to Boost Your Synchronizer.
IEEE Trans. Very Large Scale Integr. Syst., 2015

Variability in Multistage Synchronizers.
IEEE Trans. Very Large Scale Integr. Syst., 2015

Sparse Matrix Multiplication On An Associative Processor.
IEEE Trans. Parallel Distributed Syst., 2015

SafeRazor: Metastability-Robust Adaptive Clocking in Resilient Circuits.
IEEE Trans. Circuits Syst. I Regul. Pap., 2015

Computer Architecture with Associative Processor Replacing Last-Level Cache and SIMD Accelerator.
IEEE Trans. Computers, 2015

Power and thermal constraints of modern system-on-a-chip computer.
Microelectron. J., 2015

Resistive Associative Processor.
IEEE Comput. Archit. Lett., 2015

Asynchronous sub-threshold ultra-low power processor.
Proceedings of the 25th International Workshop on Power and Timing Modeling, 2015

2014
Guest Editors' Introduction - Special Issue on Network-on-Chip.
IEEE Trans. Computers, 2014

GP-SIMD Processing-in-Memory.
ACM Trans. Archit. Code Optim., 2014

Compiler-Directed Power Management for Superscalars.
ACM Trans. Archit. Code Optim., 2014

The effect of communication and synchronization on Amdahl's law in multicore systems.
Parallel Comput., 2014

StarSync: An extendable standard-cell mesochronous synchronizer.
Integr., 2014

Cache Hierarchy Optimization.
IEEE Comput. Archit. Lett., 2014

Energy Aware Race to Halt: A Down to EARtH Approach for Platform Energy Management.
IEEE Comput. Archit. Lett., 2014

Generalized MultiAmdahl: Optimization of Heterogeneous Multi-Accelerator SoC.
IEEE Comput. Archit. Lett., 2014

Energy management of highly dynamic server workloads in an heterogeneous data center.
Proceedings of the 24th International Workshop on Power and Timing Modeling, 2014

Convex optimization of resource allocation in asymmetric and heterogeneous SoC.
Proceedings of the 24th International Workshop on Power and Timing Modeling, 2014

Efficient Dense and Sparse Matrix Multiplication on GP-SIMD.
Proceedings of the 24th International Workshop on Power and Timing Modeling, 2014

Hardware Scheduler Performance on the Plural Many-Core Architecture.
Proceedings of the 3rd International Workshop on Many-core Embedded Systems (MES'2015) held on June 13, 2014

Metastability in Better-Than-Worst-Case Designs.
Proceedings of the 20th IEEE International Symposium on Asynchronous Circuits and Systems, 2014

2013
Timing-driven variation-aware synthesis of hybrid mesh/tree clock distribution networks.
Integr., 2013

Thermal analysis of 3D associative processor.
CoRR, 2013

The Effect of Communication and Synchronization on Amdahl Law in Multicore Systems.
CoRR, 2013

PBD: packet buffer DVFs.
Proceedings of the Great Lakes Symposium on VLSI 2013 (part of ECRC), 2013

Metastability challenges for 65nm and beyond: simulation and measurements.
Proceedings of the Design, Automation and Test in Europe, 2013

MTBF Estimation in Coherent Clock Domains.
Proceedings of the 19th IEEE International Symposium on Asynchronous Circuits and Systems, 2013

3D cache hierarchy optimization.
Proceedings of the 2013 IEEE International 3D Systems Integration Conference (3DIC), 2013

2012
TCP Window Based DVFS for Low Power Network Controller SoC.
Proceedings of the Integrated Circuit and System Design. Power and Timing Modeling, 2012

An Extended Metastability Simulation Method for Synchronizer Characterization.
Proceedings of the Integrated Circuit and System Design. Power and Timing Modeling, 2012

Energy metrics for power efficient crosslink and mesh topologies.
Proceedings of the 2012 IEEE International Symposium on Circuits and Systems, 2012

Performance of a Hardware Scheduler for Many-core Architecture.
Proceedings of the 14th IEEE International Conference on High Performance Computing and Communication & 9th IEEE International Conference on Embedded Software and Systems, 2012

2011
Scalable network-on-chip architecture for configurable neural networks.
Microprocess. Microsystems, 2011

Metastability and Synchronizers: A Tutorial.
IEEE Des. Test Comput., 2011

An on-chip metastability measurement circuit to characterize synchronization behavior in 65nm.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2011), 2011

2010
Corrections to "Unified Logical Effort - A Method for Delay Evaluation and Minimization in Logic Paths With RC Interconnect" [May 10 689-696].
IEEE Trans. Very Large Scale Integr. Syst., 2010

Unified Logical Effort - A Method for Delay Evaluation and Minimization in Logic Paths With RC Interconnect.
IEEE Trans. Very Large Scale Integr. Syst., 2010

Asynchronous Current Mode Serial Communication.
IEEE Trans. Very Large Scale Integr. Syst., 2010

Order is power: Selective Packet Interleaving for energy efficient Networks-on-Chip.
Proceedings of the 18th IEEE/IFIP VLSI-SoC 2010, 2010

Network-on-Chip Architectures for Neural Networks.
Proceedings of the NOCS 2010, 2010

Timing-driven variation-aware nonuniform clock mesh synthesis.
Proceedings of the 20th ACM Great Lakes Symposium on VLSI 2009, 2010

The Devolution of Synchronizers.
Proceedings of the 16th IEEE International Symposium on Asynchronous Circuits and Systems, 2010

2009
QNoC asynchronous router.
Integr., 2009

Two-phase synchronization with sub-cycle latency.
Integr., 2009

Multiple clock and voltage domains for chip multi processors.
Proceedings of the 42st Annual IEEE/ACM International Symposium on Microarchitecture (MICRO-42 2009), 2009

The Capacity Allocation Paradox.
Proceedings of the INFOCOM 2009. 28th IEEE International Conference on Computer Communications, 2009

Power efficient tree-based crosslinks for skew reduction.
Proceedings of the 19th ACM Great Lakes Symposium on VLSI 2009, 2009

2008
Low-Complexity Policies for Energy-Performance Tradeoff in Chip-Multi-Processors.
IEEE Trans. Very Large Scale Integr. Syst., 2008

Timing optimization in logic with interconnect.
Proceedings of the Tenth International Workshop on System-Level Interconnect Prediction (SLIP 2008), 2008

Parallel vs. serial on-chip communication.
Proceedings of the Tenth International Workshop on System-Level Interconnect Prediction (SLIP 2008), 2008

Fast Universal Synchronizers.
Proceedings of the Integrated Circuit and System Design. Power and Timing Modeling, 2008

2007
Network Delays and Link Capacities in Application-Specific Wormhole NoCs.
VLSI Design, 2007

Adaptive Cardiac Resynchronization Therapy Device Based on Spiking Neurons Architecture and Reinforcement Learning Scheme.
IEEE Trans. Neural Networks, 2007

An Integrated System for Multichannel Neuronal Recording With Spike/LFP Separation, Integrated A/D Conversion and Threshold Detection.
IEEE Trans. Biomed. Eng., 2007

Access Regulation to Hot-Modules in Wormhole NoCs.
Proceedings of the First International Symposium on Networks-on-Chips, 2007

QNoC Asynchronous Router with Dynamic Virtual Channel Allocation.
Proceedings of the First International Symposium on Networks-on-Chips, 2007

The Power of Priority: NoC Based Distributed Cache Coherency.
Proceedings of the First International Symposium on Networks-on-Chips, 2007

Routing table minimization for irregular mesh NoCs.
Proceedings of the 2007 Design, Automation and Test in Europe Conference and Exposition, 2007

High Rate Wave-pipelined Asynchronous On-chip Bit-serial Data Link.
Proceedings of the 13th IEEE International Symposium on Asynchronous Circuits and Systems (ASYNC 2007), 2007

2006
Minimal Energy Asynchronous Dynamic Adders.
IEEE Trans. Very Large Scale Integr. Syst., 2006

High Rate Data Synchronization in GALS SoCs.
IEEE Trans. Very Large Scale Integr. Syst., 2006

A low-power inverted ladder D/a converter.
IEEE Trans. Circuits Syst. II Express Briefs, 2006

A predictive synchronizer for periodic clock domains.
Formal Methods Syst. Des., 2006

Efficient link capacity and QoS design for network-on-chip.
Proceedings of the Conference on Design, Automation and Test in Europe, 2006

Fast Asynchronous Shift Register for Bit-Serial Communication.
Proceedings of the 12th IEEE International Symposium on Asynchronous Circuits and Systems (ASYNC 2006), 2006

2005
Parallel interleaver design and VLSI architecture for low-latency MAP turbo decoders.
IEEE Trans. Very Large Scale Integr. Syst., 2005

Low energy asynchronous architectures.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2005), 2005

Low-leakage repeaters for NoC interconnects.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2005), 2005

Formal Verification of Synchronizers.
Proceedings of the Correct Hardware Design and Verification Methods, 2005

An Asynchronous Router for Multiple Service Levels Networks on Chip.
Proceedings of the 11th International Symposium on Advanced Research in Asynchronous Circuits and Systems (ASYNC 2005), 2005

2004
Asynchronous gate-diffusion-input (GDI) circuits.
IEEE Trans. Very Large Scale Integr. Syst., 2004

QNoC: QoS architecture and design process for network on chip.
J. Syst. Archit., 2004

Cost considerations in network on chip.
Integr., 2004

A Predictive Synchronizer for Periodic Clock Domains.
Proceedings of the Integrated Circuit and System Design, 2004

Comparative analysis of serial vs parallel links in NoC.
Proceedings of the 2004 International Symposium on System-on-Chip, 2004

Low energy asynchronous adders.
Proceedings of the 2004 11th IEEE International Conference on Electronics, 2004

Micro-modem - reliability solution for NoC communications.
Proceedings of the 2004 11th IEEE International Conference on Electronics, 2004

Automatic hardware-efficient SoC integration by QoS network on chip.
Proceedings of the 2004 11th IEEE International Conference on Electronics, 2004

Asynchronous Design By Conversion: Converting Synchronous Circuits into Asynchronous Ones.
Proceedings of the 2004 Design, 2004

Data Synchronization Issues in GALS SoCs.
Proceedings of the 10th International Symposium on Advanced Research in Asynchronous Circuits and Systems (ASYNC 2004), 2004

2003
Relative timing [asynchronous design].
IEEE Trans. Very Large Scale Integr. Syst., 2003

A clock-tuning circuit for system-on-chip.
IEEE Trans. Very Large Scale Integr. Syst., 2003

Timing Measurements of Synchronization Circuits.
Proceedings of the 9th International Symposium on Advanced Research in Asynchronous Circuits and Systems (ASYNC 2003), 2003

Fourteen Ways to Fool Your Synchronizer.
Proceedings of the 9th International Symposium on Advanced Research in Asynchronous Circuits and Systems (ASYNC 2003), 2003

2002
Parallel VLSI architecture for MAP turbo decoder.
Proceedings of the 13th IEEE International Symposium on Personal, 2002

2001
An asynchronous instruction length decoder.
IEEE J. Solid State Circuits, 2001

A low-light-level sensor for medical diagnostic applications.
IEEE J. Solid State Circuits, 2001

1999
CAD Directions for High Performance Asynchronous Circuits.
Proceedings of the 36th Conference on Design Automation, 1999

Relative Timing.
Proceedings of the 5th International Symposium on Advanced Research in Asynchronous Circuits and Systems (ASYNC '99), 1999

RAPPID: An Asynchronous Instruction Length Decoder.
Proceedings of the 5th International Symposium on Advanced Research in Asynchronous Circuits and Systems (ASYNC '99), 1999

1998
Spatio-Chromatic Image Enhancement Based on a Model of Human Visual Information Processing.
J. Vis. Commun. Image Represent., 1998

A low power video processor.
Proceedings of the 1998 International Symposium on Low Power Electronics and Design, 1998

<i>Kin</i>: A High Performance Asynchronous Processor Architecture.
Proceedings of the 12th international conference on Supercomputing, 1998

Adaptive synchronization.
Proceedings of the International Conference on Computer Design: VLSI in Computers and Processors, 1998

Average-Case Optimized Technology Mapping of One-Hot Domino CircuitsAverage-Case Optimized Transistor-Level Technology Mapping of Extended Burst-Mode Circuits.
Proceedings of the 4th International Symposium on Advanced Research in Asynchronous Circuits and Systems (ASYNC '98), 30 March, 1998

1997
A Double-Latched Asynchronous Pipeline.
Proceedings of the Proceedings 1997 International Conference on Computer Design: VLSI in Computers & Processors, 1997

1996
Statechart methodology for the design, validation, and synthesis of large scale asynchronous systems.
Proceedings of the 2nd International Symposium on Advanced Research in Asynchronous Circuits and Systems (ASYNC '96), 1996

1995
Self-timed is self-checking.
J. Electron. Test., 1995

1994
Spatio-chromatic model for colour image processing.
Proceedings of the 12th IAPR International Conference on Pattern Recognition, 1994

Adaptive sensitivity CCD image sensor.
Proceedings of the 12th IAPR International Conference on Pattern Recognition, 1994

1993
Control unit synthesis from a high-level language.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1993

Self-Timed Architecture of a Reduced Instruction Set Computer.
Proceedings of the Asynchronous Design Methodologies, Proceedings of the IFIP WG10.5 Working Conference on Asynchronous Design Methodologies, Manchester, UK, 31 March, 1993

1992
Implementing Sequential Machines as Self-Timed Circuits.
IEEE Trans. Computers, 1992

An Efficient Implementation of Boolean Functions as Self-Timed Circuits.
IEEE Trans. Computers, 1992

1991
CARMEL-4: The Unify-Spawn Machine for FCP.
Proceedings of the Logic Programming, 1991

1990
On the potential of asynchronous pipelined processors.
SIGARCH Comput. Archit. News, 1990

CARMEL-2: A second generation VLSI architecture for Flat Concurrent Prolog.
New Gener. Comput., 1990

Foveating vision systems architecture: image acquisition and display.
Proceedings of the Visual Communications and Image Processing '90: Fifth in a Series, 1990

An Extended RISC Methodology and its Application to FCP.
Proceedings of the Logic Programming, 1990

1989
An efficient implementation of Boolean functions nd finite state machine as self-timed circuit.
SIGARCH Comput. Archit. News, 1989

Topological comparison of perfect shuffle and hypercube.
Int. J. Parallel Program., 1989

1985
Design and Implementation of Switching Systems for Parallel Processors.
Proceedings of the International Conference on Parallel Processing, 1985

1983
Performance evaluation of the MP/C.
Proceedings of the American Federation of Information Processing Societies: 1983 National Computer Conference, 1983

1982
MP/C: A Multiprocessor/Computer Architecture.
IEEE Trans. Computers, 1982

1981
A Single-Relation Module for a Data Base Machine.
Proceedings of the 8th Annual Symposium on Computer Architecture, 1981


  Loading...