Diana Marculescu

Orcid: 0000-0002-5734-4221

According to our database1, Diana Marculescu authored at least 218 papers between 1994 and 2024.

Collaborative distances:

Awards

ACM Fellow

ACM Fellow 2019, "For contributions to the design and optimization of energy-aware computing systems".

IEEE Fellow

IEEE Fellow 2015, "For contributions to design and optimization of energy-aware computing systems".

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2024
PaPr: Training-Free One-Step Patch Pruning with Lightweight ConvNets for Faster Inference.
CoRR, 2024

SSVOD: Semi-Supervised Video Object Detection with Sparse Annotations.
Proceedings of the IEEE/CVF Winter Conference on Applications of Computer Vision, 2024

FLORA: Fine-grained Low-Rank Architecture Search for Vision Transformer.
Proceedings of the IEEE/CVF Winter Conference on Applications of Computer Vision, 2024

2023
QUIDAM: A Framework for Quantization-aware DNN Accelerator and Model Co-Exploration.
ACM Trans. Embed. Comput. Syst., March, 2023

FlowVid: Taming Imperfect Optical Flows for Consistent Video-to-Video Synthesis.
CoRR, 2023

AVE-CLIP: AudioCLIP-based Multi-window Temporal Transformer for Audio Visual Event Localization.
Proceedings of the IEEE/CVF Winter Conference on Applications of Computer Vision, 2023

Efficient Low-rank Backpropagation for Vision Transformer Adaptation.
Proceedings of the Advances in Neural Information Processing Systems 36: Annual Conference on Neural Information Processing Systems 2023, 2023

Jumping through Local Minima: Quantization in the Loss Landscape of Vision Transformers.
Proceedings of the IEEE/CVF International Conference on Computer Vision, 2023

CLIP4VideoCap: Rethinking Clip for Video Captioning with Multiscale Temporal Fusion and Commonsense Knowledge.
Proceedings of the IEEE International Conference on Acoustics, 2023

MaxEVA: Maximizing the Efficiency of Matrix Multiplication on Versal AI Engine.
Proceedings of the International Conference on Field Programmable Technology, 2023

Open-Vocabulary Semantic Segmentation with Mask-adapted CLIP.
Proceedings of the IEEE/CVF Conference on Computer Vision and Pattern Recognition, 2023

MobileTL: On-Device Transfer Learning with Inverted Residual Blocks.
Proceedings of the Thirty-Seventh AAAI Conference on Artificial Intelligence, 2023

2022
DeepNVM++: Cross-Layer Modeling and Optimization Framework of Nonvolatile Memories for Deep Learning.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

CPT-V: A Contrastive Approach to Post-Training Quantization of Vision Transformers.
CoRR, 2022

Efficient Deep Learning Using Non-Volatile Memory Technology.
CoRR, 2022

Play It Cool: Dynamic Shifting Prevents Thermal Throttling.
CoRR, 2022

SupMAE: Supervised Masked Autoencoders Are Efficient Vision Learners.
CoRR, 2022

QADAM: Quantization-Aware DNN Accelerator Modeling for Pareto-Optimality.
CoRR, 2022

QAPPA: Quantization-Aware Power, Performance, and Area Modeling of DNN Accelerators.
CoRR, 2022

ANT: Adapt Network Across Time for Efficient Video Processing.
Proceedings of the IEEE/CVF Conference on Computer Vision and Pattern Recognition Workshops, 2022

2021
Putting the "Machine" Back in Machine Learning for Engineering Students.
Proceedings of the Second Teaching Machine Learning and Artificial Intelligence Workshop, 2021

Joslim: Joint Widths and Weights Optimization for Slimmable Neural Networks.
Proceedings of the Machine Learning and Knowledge Discovery in Databases. Research Track, 2021

When Climate Meets Machine Learning: Edge to Cloud ML Energy Efficiency.
Proceedings of the IEEE/ACM International Symposium on Low Power Electronics and Design, 2021

Renofeation: A Simple Transfer Learning Method for Improved Adversarial Robustness.
Proceedings of the IEEE Conference on Computer Vision and Pattern Recognition Workshops, 2021

Width Transfer: On the (In)variance of Width Optimization.
Proceedings of the IEEE Conference on Computer Vision and Pattern Recognition Workshops, 2021

2020
Single-Path Mobile AutoML: Efficient ConvNet Design and NAS Hyperparameter Optimization.
IEEE J. Sel. Top. Signal Process., 2020

Editorial: Special Issue on Compact Deep Neural Networks With Industrial Applications.
IEEE J. Sel. Top. Signal Process., 2020

DeepNVM++: Cross-Layer Modeling and Optimization Framework of Non-Volatile Memories for Deep Learning.
CoRR, 2020

The Architectural Implications of Distributed Reinforcement Learning on CPU-GPU Systems.
CoRR, 2020

PareCO: Pareto-aware Channel Optimization for Slimmable Neural Networks.
CoRR, 2020

Workshops on Extreme Scale Design Automation (ESDA) Challenges and Opportunities for 2025 and Beyond.
CoRR, 2020

Improving the Adversarial Robustness of Transfer Learning via Noisy Feature Distillation.
CoRR, 2020

ViP: Virtual Pooling for Accelerating CNN-based Image Classification and Object Detection.
Proceedings of the IEEE Winter Conference on Applications of Computer Vision, 2020

Edge AI: Systems Design and ML for IoT Data Analytics.
Proceedings of the KDD '20: The 26th ACM SIGKDD Conference on Knowledge Discovery and Data Mining, 2020

One Weight Bitwidth to Rule Them All.
Proceedings of the Computer Vision - ECCV 2020 Workshops, 2020

DeepNVM: A Framework for Modeling and Analysis of Non-Volatile Memory Technologies for Deep Learning Applications.
Proceedings of the 2020 Design, Automation & Test in Europe Conference & Exhibition, 2020

Towards Efficient Model Compression via Learned Global Ranking.
Proceedings of the 2020 IEEE/CVF Conference on Computer Vision and Pattern Recognition, 2020

2019
Tractable Learning and Inference for Large-Scale Probabilistic Boolean Networks.
IEEE Trans. Neural Networks Learn. Syst., 2019

Learning-Based Application-Agnostic 3D NoC Design for Heterogeneous Manycore Systems.
IEEE Trans. Computers, 2019

Single-Path NAS: Device-Aware Efficient ConvNet Design.
CoRR, 2019

LeGR: Filter Pruning via Learned Global Ranking.
CoRR, 2019

Single-Path NAS: Designing Hardware-Efficient ConvNets in Less Than 4 Hours.
Proceedings of the Machine Learning and Knowledge Discovery in Databases, 2019

AdaScale: Towards Real-time Video Object Detection using Adaptive Scaling.
Proceedings of Machine Learning and Systems 2019, 2019

FLightNNs: Lightweight Quantized Deep Neural Networks for Fast and Accurate Inference.
Proceedings of the 56th Annual Design Automation Conference 2019, 2019

Regularizing Activation Distribution for Training Binarized Deep Networks.
Proceedings of the IEEE Conference on Computer Vision and Pattern Recognition, 2019

2018
Lightening the Load with Highly Accurate Storage- and Energy-Efficient LightNNs.
ACM Trans. Reconfigurable Technol. Syst., 2018

Profit: Priority and Power/Performance Optimization for Many-Core Systems.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2018

On-Chip Communication Network for Efficient Training of Deep Convolutional Networks on Heterogeneous Manycore Systems.
IEEE Trans. Computers, 2018

Layer-compensated Pruning for Resource-constrained Convolutional Neural Networks.
CoRR, 2018

Machine Learning and Manycore Systems Design: A Serendipitous Symbiosis.
Computer, 2018

Understanding the Impact of Label Granularity on CNN-Based Image Classification.
Proceedings of the 2018 IEEE International Conference on Data Mining Workshops, 2018

Designing adaptive neural networks for energy-constrained image classification.
Proceedings of the International Conference on Computer-Aided Design, 2018

Hardware-aware machine learning: modeling and optimization.
Proceedings of the International Conference on Computer-Aided Design, 2018

Hybrid on-chip communication architectures for heterogeneous manycore systems.
Proceedings of the International Conference on Computer-Aided Design, 2018

HyperPower: Power- and memory-constrained hyper-parameter optimization for neural networks.
Proceedings of the 2018 Design, Automation & Test in Europe Conference & Exhibition, 2018

Quantized deep neural networks for energy efficient hardware-based inference.
Proceedings of the 23rd Asia and South Pacific Design Automation Conference, 2018

2017
Imitation Learning for Dynamic VFI Control in Large-Scale Manycore Systems.
IEEE Trans. Very Large Scale Integr. Syst., 2017

Temperature Effect Inversion-Aware Power-Performance Optimization for FinFET-Based Multicore Systems.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2017

Thread Progress Equalization: Dynamically Adaptive Power-Constrained Performance Optimization of Multi-Threaded Applications.
IEEE Trans. Computers, 2017

Priority-Aware Near-Optimal Scheduling for Heterogeneous Multi-Core Systems with Specialized Accelerators.
CoRR, 2017

Task Scheduling for Heterogeneous Multicore Systems.
CoRR, 2017

NeuralPower: Predict and Deploy Energy-Efficient Convolutional Neural Networks.
CoRR, 2017

3D NoC-Enabled Heterogeneous Manycore Architectures for Accelerating CNN Training: Performance and Thermal Trade-offs.
Proceedings of the Eleventh IEEE/ACM International Symposium on Networks-on-Chip, 2017

LightNN: Filling the Gap between Conventional Deep Neural Networks and Binarized Networks.
Proceedings of the on Great Lakes Symposium on VLSI 2017, 2017

Leveraging Classification Models for River Forecasting.
Proceedings of the 25th ACM SIGSPATIAL International Conference on Advances in Geographic Information Systems, 2017

M3A: Model, MetaModel and Anomaly Detection for Inter-arrivals of Web Searches and Postings.
Proceedings of the 2017 IEEE International Conference on Data Science and Advanced Analytics, 2017

Enhancing precipitation models by capturing multivariate and multiscale climate dynamics.
Proceedings of the 3rd International Workshop on Cyber-Physical Systems for Smart Water Networks, 2017

\emphNeuralPower: Predict and Deploy Energy-Efficient Convolutional Neural Networks.
Proceedings of The 9th Asian Conference on Machine Learning, 2017

2016
Wireless NoC and Dynamic VFI Codesign: Energy Efficiency Without Performance Penalty.
IEEE Trans. Very Large Scale Integr. Syst., 2016

A Support Vector Regression (SVR)-Based Latency Model for Network-on-Chip (NoC) Architectures.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2016

Learning-Based Power/Performance Optimization for Many-Core Systems With Extended-Range Voltage/Frequency Scaling.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2016

Wireless NoC for VFI-Enabled Multicore Chip Design: Performance Evaluation and Design Trade-Offs.
IEEE Trans. Computers, 2016

A two-level approximate model driven framework for characterizing Multi-Cell Upsets impacts on processors.
Microelectron. J., 2016

Thread Progress Equalization: Dynamically Adaptive Power and Performance Optimization of Multi-threaded Applications.
CoRR, 2016

M3A: Model, MetaModel, and Anomaly Detection in Web Searches.
CoRR, 2016

Can We Guarantee Performance Requirements under Workload and Process Variations?
Proceedings of the 2016 International Symposium on Low Power Electronics and Design, 2016

Exploring aging deceleration in FinFET-based multi-core systems.
Proceedings of the 35th International Conference on Computer-Aided Design, 2016

Hybrid network-on-chip architectures for accelerating deep learning kernels on heterogeneous manycore platforms.
Proceedings of the 2016 International Conference on Compilers, 2016

2015
Procrustes<sup>1</sup>: Power Constrained Performance Improvement Using Extended Maximize-Then-Swap Algorithm.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2015

Exploiting component dependency for accurate and efficient soft error analysis via Probabilistic Graphical Models.
Microelectron. Reliab., 2015

The (Low) Power of Less Wiring: Enabling Energy Efficiency in Many-Core Platforms Through Wireless NoC.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2015

TEI-Turbo: Temperature Effect Inversion-Aware Turbo Boost for FinFET-Based Multi-Core Systems.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2015

Statistical Learning in Chip (SLIC).
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2015

The quest for energy aware computing.
Proceedings of the Sixth International Green and Sustainable Computing Conference, 2015

Distributed reinforcement learning for power limited many-core system performance optimization.
Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, 2015

Energy efficient MapReduce with VFI-enabled multicore platforms.
Proceedings of the 52nd Annual Design Automation Conference, 2015

Understanding and Using Heterogeneity for High Performance, Energy Efficient Computing: Special Session Extended Abstract.
Proceedings of the 20th International Conference on Control Systems and Computer Science, 2015

2014
Power-Planning-Aware Soft Error Hardening via Selective Voltage Assignment.
IEEE Trans. Very Large Scale Integr. Syst., 2014

Statistical Peak Temperature Prediction and Thermal Yield Improvement for 3D Chip Multiprocessors.
ACM Trans. Design Autom. Electr. Syst., 2014

Beyond Poisson: Modeling Inter-Arrival Time of Requests in a Datacenter.
Proceedings of the Advances in Knowledge Discovery and Data Mining, 2014

SLIC: Statistical learning in chip.
Proceedings of the 2014 International Symposium on Integrated Circuits (ISIC), 2014

The EDA Challenges in the Dark Silicon Era: Temperature, Reliability, and Variability Perspectives.
Proceedings of the 51st Annual Design Automation Conference 2014, 2014

Energy-efficient VFI-partitioned multicore design using wireless NoC architectures.
Proceedings of the 2014 International Conference on Compilers, 2014

A comprehensive and accurate latency model for Network-on-Chip performance analysis.
Proceedings of the 19th Asia and South Pacific Design Automation Conference, 2014

2013
A Low-Cost, Systematic Methodology for Soft Error Robustness of Logic Circuits.
IEEE Trans. Very Large Scale Integr. Syst., 2013

Mitigating the Impact of Process Variation on the Performance of 3-D Integrated Circuits.
IEEE Trans. Very Large Scale Integr. Syst., 2013

Editorial to special section on networks on chip: Architecture, tools, and methodologies.
ACM Trans. Design Autom. Electr. Syst., 2013

Addressing Process Variations at the Microarchitecture and System Level.
Found. Trends Electron. Des. Autom., 2013

"Scaling" the impact of EDA education Preliminary findings from the CCC workshop series on extreme scale design automation.
Proceedings of the 2013 IEEE International Conference on Microelectronic Systems Education, 2013

Impact of manufacturing process variations on performance and thermal characteristics of 3D ICs: Emerging challenges and new solutions.
Proceedings of the 2013 IEEE International Symposium on Circuits and Systems (ISCAS2013), 2013

Dynamic thread mapping for high-performance, power-efficient heterogeneous many-core systems.
Proceedings of the 2013 IEEE 31st International Conference on Computer Design, 2013

Hardware-efficient stereo estimation using a residual-based approach.
Proceedings of the IEEE International Conference on Acoustics, 2013

Cherry-picking: exploiting process variations in dark-silicon homogeneous chip multi-processors.
Proceedings of the Design, Automation and Test in Europe, 2013

SVR-NoC: a performance analysis tool for network-on-chips using learning-based support vector regression model.
Proceedings of the Design, Automation and Test in Europe, 2013

HaDeS: architectural synthesis for <u>h</u>eterogeneous <u>d</u>ark <u>s</u>ilicon chip multi-processors.
Proceedings of the 50th Annual Design Automation Conference 2013, 2013

Dynamic behavior of cell signaling networks: model design and analysis automation.
Proceedings of the 50th Annual Design Automation Conference 2013, 2013

Learning the optimal operating point for many-core systems with extended range voltage/frequency scaling.
Proceedings of the International Conference on Hardware/Software Codesign and System Synthesis, 2013

2012
Exploiting Process Variability in Voltage/Frequency Control.
IEEE Trans. Very Large Scale Integr. Syst., 2012

System-Level Leakage Variability Mitigation for MPSoC Platforms Using Body-Bias Islands.
IEEE Trans. Very Large Scale Integr. Syst., 2012

On the Impact of Manufacturing Process Variations on the Lifetime of Sensor Networks.
ACM Trans. Embed. Comput. Syst., 2012

Guest Editorial Special Section on PAR-CAD: Parallel CAD Algorithms and CAD for Parallel Architectures/Systems.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2012

Technology-driven limits on runtime power management algorithms for multiprocessor systems-on-chip.
ACM J. Emerg. Technol. Comput. Syst., 2012

Efficient on-line module-level wake-up scheduling for high performance multi-module designs.
Proceedings of the International Symposium on Physical Design, 2012

Power-aware performance increase via core/uncore reinforcement control for chip-multiprocessors.
Proceedings of the International Symposium on Low Power Electronics and Design, 2012

Mitigating lifetime underestimation: A system-level approach considering temperature variations and correlations between failure mechanisms.
Proceedings of the 2012 Design, Automation & Test in Europe Conference & Exhibition, 2012

Statistical thermal modeling and optimization considering leakage power variations.
Proceedings of the 2012 Design, Automation & Test in Europe Conference & Exhibition, 2012

A learning-based autoregressive model for fast transient thermal analysis of chip-multiprocessors.
Proceedings of the 17th Asia and South Pacific Design Automation Conference, 2012

2011
Special session 4A: New topics parametric yield and reliability of 3D integrated circuits: New challenges and solutions.
Proceedings of the 29th IEEE VLSI Test Symposium, 2011

Analysis and mitigation of NBTI-induced performance degradation for power-gated circuits.
Proceedings of the 2011 International Symposium on Low Power Electronics and Design, 2011

PRICE: Power reduction by placement and clock-network co-synthesis for pulsed-latch designs.
Proceedings of the 2011 IEEE/ACM International Conference on Computer-Aided Design, 2011

Regulatory network analysis acceleration with reconfigurable hardware.
Proceedings of the 33rd Annual International Conference of the IEEE Engineering in Medicine and Biology Society, 2011

Aging-aware timing analysis and optimization considering path sensitization.
Proceedings of the Design, Automation and Test in Europe, 2011

Statistical thermal evaluation and mitigation techniques for 3D Chip-Multiprocessors in the presence of process variations.
Proceedings of the Design, Automation and Test in Europe, 2011

Emulation of biological networks in reconfigurable hardware.
Proceedings of the ACM International Conference on Bioinformatics, 2011

2010
Multiple Transient Faults in Combinational and Sequential Circuits: A Systematic Approach.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2010

Custom feedback control: enabling truly scalable on-chip power management for MPSoCs.
Proceedings of the 2010 International Symposium on Low Power Electronics and Design, 2010

Process variation aware performance modeling and dynamic power management for multi-core systems.
Proceedings of the 2010 International Conference on Computer-Aided Design, 2010

Clock skew scheduling for soft-error-tolerant sequential circuits.
Proceedings of the Design, Automation and Test in Europe, 2010

Formal modeling and reasoning for reliability analysis.
Proceedings of the 47th Design Automation Conference, 2010

2009
Design and Management of Voltage-Frequency Island Partitioned Networks-on-Chip.
IEEE Trans. Very Large Scale Integr. Syst., 2009

Mitigating the Impact of Variability on Chip-Multiprocessor Power and Performance.
IEEE Trans. Very Large Scale Integr. Syst., 2009

Power Management of Voltage/Frequency Island-Based Systems Using Hardware-Based Methods.
IEEE Trans. Very Large Scale Integr. Syst., 2009

A systematic approach to modeling and analysis of transient faults in logic circuits.
Proceedings of the 10th International Symposium on Quality of Electronic Design (ISQED 2009), 2009

3D-GCP: An analytical model for the impact of process variations on the critical path delay distribution of 3D ICs.
Proceedings of the 10th International Symposium on Quality of Electronic Design (ISQED 2009), 2009

Post-floorplanning power/ground ring synthesis for multiple-supply-voltage designs.
Proceedings of the 2009 International Symposium on Physical Design, 2009

Integrating dynamic voltage/frequency scaling and adaptive body biasing using test-time voltage selection.
Proceedings of the 2009 International Symposium on Low Power Electronics and Design, 2009

Variation-aware dynamic voltage/frequency scaling.
Proceedings of the 15th International Conference on High-Performance Computer Architecture (HPCA-15 2009), 2009

Joint logic restructuring and pin reordering against NBTI-induced performance degradation.
Proceedings of the Design, Automation and Test in Europe, 2009

System-level process variability analysis and mitigation for 3D MPSoCs.
Proceedings of the Design, Automation and Test in Europe, 2009

Technology-driven limits on DVFS controllability of multiple voltage-frequency island designs: a system-level perspective.
Proceedings of the 46th Design Automation Conference, 2009

2008
Guest Editorial Special Section on Low-Power Electronics and Design.
IEEE Trans. Very Large Scale Integr. Syst., 2008

System-level throughput analysis for process variation aware multiple voltage-frequency island designs.
ACM Trans. Design Autom. Electr. Syst., 2008

Modeling and Optimization for Soft-Error Reliability of Sequential Circuits.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2008

Process-Driven Variability Analysis of Single and Multiple Voltage-Frequency Island Latency-Constrained Systems.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2008

Power-aware soft error hardening via selective voltage scaling.
Proceedings of the 26th International Conference on Computer Design, 2008

Process variability-aware transient fault modeling and analysis.
Proceedings of the 2008 International Conference on Computer-Aided Design, 2008

Design Variability: Challenges and Solutions at Microarchitecture-Architecture Level.
Proceedings of the Design, Automation and Test in Europe, 2008

Variation-adaptive feedback control for networks-on-chip with multiple clock domains.
Proceedings of the 45th Design Automation Conference, 2008

Characterizing chip-multiprocessor variability-tolerance.
Proceedings of the 45th Design Automation Conference, 2008

System-level mitigation of WID leakage power variability using body-bias islands.
Proceedings of the 6th International Conference on Hardware/Software Codesign and System Synthesis, 2008

Soft error rate reduction using redundancy addition and removal.
Proceedings of the 13th Asia South Pacific Design Automation Conference, 2008

2007
Challenges and Promising Results in NoC Prototyping Using FPGAs.
IEEE Micro, 2007

Architectures for Silicon Nanoelectronics and Beyond.
Computer, 2007

MARS-S: Modeling and Reduction of Soft Errors in Sequential Circuits.
Proceedings of the 8th International Symposium on Quality of Electronic Design (ISQED 2007), 2007

Analysis of dynamic voltage/frequency scaling in chip-multiprocessors.
Proceedings of the 2007 International Symposium on Low Power Electronics and Design, 2007

<i>Sunflower : </i> Full-System, Embedded Microarchitecture Evaluation.
Proceedings of the High Performance Embedded Architectures and Compilers, 2007

An 0.9 × 1.2", low power, energy-harvesting system with custom multi-channel communication interface.
Proceedings of the 2007 Design, Automation and Test in Europe Conference and Exposition, 2007

Soft error rate analysis for sequential circuits.
Proceedings of the 2007 Design, Automation and Test in Europe Conference and Exposition, 2007

Interactive presentation: System-level process variation driven throughput analysis for single and multiple voltage-frequency island designs.
Proceedings of the 2007 Design, Automation and Test in Europe Conference and Exposition, 2007

Voltage-Frequency Island Partitioning for GALS-based Networks-on-Chip.
Proceedings of the 44th Design Automation Conference, 2007

2006
Circuit Reliability Analysis Using Symbolic Techniques.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2006

Design and Analysis of a Low Power VLIW DSP Core.
Proceedings of the 2006 IEEE Computer Society Annual Symposium on VLSI (ISVLSI 2006), 2006

System-level process-driven variability analysis for single and multiple voltage-frequency island systems.
Proceedings of the 2006 International Conference on Computer-Aided Design, 2006

MARS-C: modeling and reduction of soft errors in combinational circuits.
Proceedings of the 43rd Design Automation Conference, 2006

Hardware based frequency/voltage control of voltage frequency island systems.
Proceedings of the 4th International Conference on Hardware/Software Codesign and System Synthesis, 2006

2005
Toward a multiple clock/voltage island design style for power-aware processors.
IEEE Trans. Very Large Scale Integr. Syst., 2005

Execution cache-based microarchitecture for power-efficient superscalar processors.
IEEE Trans. Very Large Scale Integr. Syst., 2005

Dynamic Functional Unit Assignment for Low Power.
J. Supercomput., 2005

Undergraduate embedded system education at Carnegie Mellon.
ACM Trans. Embed. Comput. Syst., 2005

Energy Awareness and Uncertainty in Microarchitecture-Level Design.
IEEE Micro, 2005

System level power and performance modeling of GALS point-to-point communication interfaces.
Proceedings of the 2005 International Symposium on Low Power Electronics and Design, 2005

Increased Scalability and Power Efficiency by Using Multiple Speed Pipelines.
Proceedings of the 32st International Symposium on Computer Architecture (ISCA 2005), 2005

Energy Bounds for Fault-Tolerant Nanoscale Designs.
Proceedings of the 2005 Design, 2005

Variability and energy awareness: a microarchitecture-level perspective.
Proceedings of the 42nd Design Automation Conference, 2005

Speed and voltage selection for GALS systems based on voltage/frequency islands.
Proceedings of the 2005 Conference on Asia South Pacific Design Automation, 2005

2004
Impact of technology scaling on energy aware execution cache-based microarchitectures.
Proceedings of the 2004 International Symposium on Low Power Electronics and Design, 2004

Application adaptive energy efficient clustered architectures.
Proceedings of the 2004 International Symposium on Low Power Electronics and Design, 2004

Toward an Integrated Design Methodology for Fault-Tolerant, Multiple Clock/Voltage Integrated Systems.
Proceedings of the 22nd IEEE International Conference on Computer Design: VLSI in Computers & Processors (ICCD 2004), 2004

Local Decisions and Triggering Mechanisms for Adaptive Fault-Tolerance.
Proceedings of the 2004 Design, 2004

Mixed-clock issue queue design for energy aware, high-performance cores.
Proceedings of the 2004 Conference on Asia South Pacific Design Automation: Electronic Design and Solution Fair 2004, 2004

2003
Modeling, Analysis, and Self-Management of Electronic Textiles.
IEEE Trans. Computers, 2003

Electronic textiles: A platform for pervasive computing.
Proc. IEEE, 2003

Electronic textiles: a platform for pervasive computing.
Proc. IEEE, 2003

E-Textiles: Toward Computational Clothing.
IEEE Pervasive Comput., 2003

Guest Editors' Introduction: Power and Complexity Aware Design.
IEEE Micro, 2003

A critical analysis of application-adaptive multiple clock processors.
Proceedings of the 2003 International Symposium on Low Power Electronics and Design, 2003

A mixed-clock issue queue design for globally asynchronous, locally synchronous processor cores.
Proceedings of the 2003 International Symposium on Low Power Electronics and Design, 2003

Dynamic Fault-Tolerance and Metrics for Battery Powered, Failure-Prone Systems.
Proceedings of the 2003 International Conference on Computer-Aided Design, 2003

Fault-Tolerant Techniques for Ambient Intelligent Distributed Systems.
Proceedings of the 2003 International Conference on Computer-Aided Design, 2003

Pre-Characterization Free, Efficient Power/Performance Analysis of Embedded and General Purpose Software Applications.
Proceedings of the 2003 Design, 2003

Ambient Intelligence Visions and Achievements: Linking Abstract Ideas to Real-World Concepts.
Proceedings of the 2003 Design, 2003

Efficient Power/Performance Analysis of Embedded and General Purpose Software Applications.
Proceedings of the Embedded Software for SoC, 2003

Dynamic Functional Unit Assignment for Low Power.
Proceedings of the Embedded Software for SoC, 2003

2002
Microarchitecture-level power management.
IEEE Trans. Very Large Scale Integr. Syst., 2002

Does Q=MC2? (On the Relationship between Quality in Electronic Design and the Model of Colloidal Computing, invited).
Proceedings of the 3rd International Symposium on Quality of Electronic Design, 2002

Power and Performance Evaluation of Globally Asynchronous Locally Synchronous Processors.
Proceedings of the 29th International Symposium on Computer Architecture (ISCA 2002), 2002

Power efficiency of voltage scaling in multiple clock, multiple voltage cores.
Proceedings of the 2002 IEEE/ACM International Conference on Computer-aided Design, 2002

Challenges and opportunities in electronic textiles modeling and optimization.
Proceedings of the 39th Design Automation Conference, 2002

2001
Power reduction through work reuse.
Proceedings of the 2001 International Symposium on Low Power Electronics and Design, 2001

Application-Driven Processor Design Exploration for Power-Performance Trade-off Analysis.
Proceedings of the 2001 IEEE/ACM International Conference on Computer-Aided Design, 2001

Power aware microarchitecture resource scaling.
Proceedings of the Conference on Design, Automation and Test in Europe, 2001

2000
Theoretical bounds for switching activity analysis in finite-state machines.
IEEE Trans. Very Large Scale Integr. Syst., 2000

Stochastic sequential machine synthesis with application to constrained sequence generation.
ACM Trans. Design Autom. Electr. Syst., 2000

Profile-driven code execution for low power dissipation (poster session).
Proceedings of the 2000 International Symposium on Low Power Electronics and Design, 2000

1999
Sequence compaction for power estimation: theory and practice.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1999

Non-stationary effects in trace-driven power analysis.
Proceedings of the 1999 International Symposium on Low Power Electronics and Design, 1999

1998
Probabilistic modeling of dependencies during switching activity analysis.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1998

Trace-Driven Steady-State Probability Estimation in FSMs with Application to Power Estimation.
Proceedings of the 1998 Design, 1998

1997
Composite sequence compaction for finite-state machines using block entropy and high-order Markov models.
Proceedings of the 1997 International Symposium on Low Power Electronics and Design, 1997

Hierarchical Sequence Compaction for Power Estimation.
Proceedings of the 34st Conference on Design Automation, 1997

Sequence Compaction for Probabilistic Analysis of Finite-State Machines.
Proceedings of the 34st Conference on Design Automation, 1997

Adaptive models for input data compaction for power simulators.
Proceedings of the ASP-DAC '97 Asia and South Pacific Design Automation Conference, 1997

1996
Information theoretic measures for power analysis [logic design].
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1996

Improving the Efficiency of Power Simulators by Input Vector Compaction.
Proceedings of the 33st Conference on Design Automation, 1996

Stochastic Sequential Machine Synthesis Targeting Constrained Sequence Generation.
Proceedings of the 33st Conference on Design Automation, 1996

1995
Information theoretic measures of energy consumption at register transfer level.
Proceedings of the 1995 International Symposium on Low Power Design 1995, 1995

Efficient Power Estimation for Highly Correlated Input Streams.
Proceedings of the 32st Conference on Design Automation, 1995

1994
Switching activity analysis considering spatiotemporal correlations.
Proceedings of the 1994 IEEE/ACM International Conference on Computer-Aided Design, 1994


  Loading...