Gerard J. M. Smit

Affiliations:
  • University of Twente, Enschede, Netherlands


According to our database1, Gerard J. M. Smit authored at least 199 papers between 1988 and 2019.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2019
Circular Buffers with Multiple Overlapping Windows for Cyclic Task Graphs.
Trans. High Perform. Embed. Archit. Compil., 2019

DEMKit: a Decentralized Energy Management Simulation and Demonstration Toolkit.
Proceedings of the 2019 IEEE PES Innovative Smart Grid Technologies Europe, 2019

Improving the Degree of Autarky of a 16 House Neighbourhood in the Netherlands - A case study.
Proceedings of the 2019 IEEE PES Innovative Smart Grid Technologies Europe, 2019

2018
Implementation and Verification of a Realistic Battery Model in the DEMKit Simulation Software.
Proceedings of the 2018 IEEE PES Innovative Smart Grid Technologies Conference Europe, 2018

A Heuristic Approach to Control the Ecovat System.
Proceedings of the 2018 IEEE PES Innovative Smart Grid Technologies Conference Europe, 2018

2017
Multi-commodity support in profile steering.
Proceedings of the 2017 IEEE PES Innovative Smart Grid Technologies Conference Europe, 2017

2016
Closed-Form Expressions for Time-Frequency Operations Involving Hermite Functions.
IEEE Trans. Signal Process., 2016

Spectrum Efficient, Localized, Orthogonal Waveforms: Closing the Gap With the Balian-Low Theorem.
IEEE Trans. Commun., 2016

QUEST: Eliminating Online Supervised Learning for Efficient Classification Algorithms.
Sensors, 2016

A Predictive Model for Smart Control of a Domestic Heat Pump and Thermal Storage.
Proceedings of the SMARTGREENS 2016, 2016

The effects of single bit quantization on direction of arrival estimation of UHF RFID tags.
Proceedings of the IEEE International Conference on RFID Technology and Applications, 2016

A Multi-commodity Simulation Tool Based on TRIANA.
Proceedings of the Measurement, Modelling and Evaluation of Dependable Computer and Communication Systems, 2016

Balancing islanded residential microgrids using demand side management.
Proceedings of the 2016 IEEE Power & Energy Society Innovative Smart Grid Technologies Conference, 2016

2015
Incremental Analysis of Cyclo-Static Synchronous Dataflow Graphs.
ACM Trans. Embed. Comput. Syst., 2015

Central Model Predictive Control of a Group of Domestic Heat Pumps - Case Study for a Small District.
Proceedings of the SMARTGREENS 2015, 2015

Real-Time Multiprocessor Architecture for Sharing Stream Processing Accelerators.
Proceedings of the 2015 IEEE International Parallel and Distributed Processing Symposium Workshop, 2015

Digitally assisted analog beamforming for millimeter-wave communication.
Proceedings of the IEEE International Conference on Communication, 2015

Near field phased array DOA and range estimation of UHF RFID tags.
Proceedings of the 2015 International EURASIP Workshop on RFID Technology, 2015

2014
Thermal Storage in a Heat Pump Heated Living Room Floor for Urban District Power Balancing - Effects on Thermal Comfort, Energy Loss and Costs for Residents.
Proceedings of the SMARTGREENS 2014, 2014

Single-rate approximations of cyclo-static synchronous dataflow graphs.
Proceedings of the 17th International Workshop on Software and Compilers for Embedded Systems, 2014

Efficient end-to-end latency distribution analysis for probabilistic time-triggered systems.
Proceedings of the XIVth International Conference on Embedded Computer Systems: Architectures, 2014

Programming a Multicore Architecture without Coherency and Atomic Operations.
Proceedings of the 2014 PPOPP International Workshop on Programming Models and Applications for Multicores and Manycores, 2014

Analytic Clock Frequency Selection for Global DVFS.
Proceedings of the 22nd Euromicro International Conference on Parallel, 2014

Low-cost guaranteed-throughput dual-ring communication infrastructure for heterogeneous MPSoCs.
Proceedings of the 2014 Conference on Design and Architectures for Signal and Image Processing, 2014

Declaratively Programmable Ultra Low-Latency Audio Effects Processing on FPGA.
Proceedings of the 17th International Conference on Digital Audio Effects, 2014

A Dataflow Inspired Programming Paradigm for Coarse-Grained Reconfigurable Arrays.
Proceedings of the Reconfigurable Computing: Architectures, Tools, and Applications, 2014

Multi-rate Equivalents of Cyclo-Static Synchronous Dataflow Graphs.
Proceedings of the 14th International Conference on Application of Concurrency to System Design, 2014

2013
Value of Storage in Distribution Grids - Competition or Cooperation of Stakeholders?
IEEE Trans. Smart Grid, 2013

Fixed latency on-chip interconnect for hardware spiking neural network architectures.
Parallel Comput., 2013

Modular Neural Tile Architecture for Compact Embedded Hardware Spiking Neural Network.
Neural Process. Lett., 2013

Spectrum Sensing With High Sensitivity and Interferer Robustness Using Cross-Correlation Energy Detection.
IEEE J. Emerg. Sel. Topics Circuits Syst., 2013

Demand Side Management for Multiple Devices.
ERCIM News, 2013

Selection of tests for outlier detection.
Proceedings of the 31st IEEE VLSI Test Symposium, 2013

Exploiting phase measurements of EPC Gen2 RFID tags.
Proceedings of the 2013 IEEE International Conference on RFID-Technologies and Applications, 2013

Nonminimum-phase channel equalization using all-pass CMA.
Proceedings of the 24th IEEE Annual International Symposium on Personal, 2013

Column generation based planning in smart grids using TRIANA.
Proceedings of the 4th IEEE PES Innovative Smart Grid Technologies Europe, 2013

Integrating LV network models and load-flow calculations into smart grid planning.
Proceedings of the 4th IEEE PES Innovative Smart Grid Technologies Europe, 2013

Storage operation for peak shaving of distributed PV and wind generation.
Proceedings of the IEEE PES Innovative Smart Grid Technologies Conference, 2013

Portable Memory Consistency for Software Managed Distributed Memory in Many-Core SoC.
Proceedings of the 2013 IEEE International Symposium on Parallel & Distributed Processing, 2013

A correlating receiver for ES-OFDM using multiple antennas.
Proceedings of IEEE International Conference on Communications, 2013

Fourier-hermite communications; where Fourier meets Hermite.
Proceedings of the IEEE International Conference on Acoustics, 2013

Peak-to-average power reduction by rotation of the time-frequency representation.
Proceedings of the 2013 IEEE Global Communications Conference, 2013

A dataflow-inspired CGRA for streaming applications.
Proceedings of the 23rd International Conference on Field programmable Logic and Applications, 2013

Low-cost guaranteed-throughput communication ring for real-time streaming MPSoCs.
Proceedings of the 2013 Conference on Design and Architectures for Signal and Image Processing, 2013

2012
A CMOS-Compatible Spectrum Analyzer for Cognitive Radio Exploiting Crosscorrelation to Improve Linearity and Noise Performance.
IEEE Trans. Circuits Syst. I Regul. Pap., 2012

Sabrewing: A lightweight architecture for combined floating-point and integer arithmetic.
ACM Trans. Archit. Code Optim., 2012

Distribution Bottlenecks in Classification Algorithms.
Proceedings of the 3rd International Conference on Ambient Systems, 2012

Planning the production of a fleet of domestic combined heat and power generators.
Eur. J. Oper. Res., 2012

Extending OFDM Symbols to Reduce Power Consumption.
Proceedings of the SMARTGREENS 2012 - Proceedings of the 1st International Conference on Smart Grids and Green IT Systems, Porto, Portugal, 19, 2012

An efficient asymmetric distributed lock for embedded multiprocessor systems.
Proceedings of the 2012 International Conference on Embedded Computer Systems: Architectures, 2012

Survey of Energy Efficient Tracking and Localization Techniques in Buildings Using Optical and Wireless Communication Media.
Proceedings of the Multiple Access Communications - 5th International Workshop, 2012

Dataflow-based reconfigurable architecture for streaming applications.
Proceedings of the 2012 International Symposium on System on Chip, 2012

Controlling smart grid adaptivity.
Proceedings of the 3rd IEEE PES Innovative Smart Grid Technologies Europe, 2012

Integration of heat pumps in distribution grids: Economic motivation for grid control.
Proceedings of the 3rd IEEE PES Innovative Smart Grid Technologies Europe, 2012

Comparing demand side management approaches.
Proceedings of the 3rd IEEE PES Innovative Smart Grid Technologies Europe, 2012

Non-intrusive appliance recognition.
Proceedings of the 3rd IEEE PES Innovative Smart Grid Technologies Europe, 2012

Controlling the heating mode of heat pumps with the TRIANA three step methodology.
Proceedings of the IEEE PES Innovative Smart Grid Technologies Conference, 2012

Efficient ICT for efficient Smart Grids.
Proceedings of the IEEE PES Innovative Smart Grid Technologies Conference, 2012

Low-cost multi-channel underwater acoustic signal processing testbed.
Proceedings of the IEEE 7th Sensor Array and Multichannel Signal Processing Workshop, 2012

Multilevel Unit Commitment in Smart Grids.
Proceedings of the ICORES 2012, 2012

Synchronization and matched filtering in time-frequency using the sunflower spiral.
Proceedings of the 2012 IEEE Global Communications Conference, 2012

High level structural description of streaming applications.
Proceedings of the 22nd International Conference on Field Programmable Logic and Applications (FPL), 2012

Max-Plus Algebraic Throughput Analysis of Synchronous Dataflow Graphs.
Proceedings of the 38th Euromicro Conference on Software Engineering and Advanced Applications, 2012

Evaluation of a Connectionless NoC for a Real-Time Distributed Shared Memory Many-Core System.
Proceedings of the 15th Euromicro Conference on Digital System Design, 2012

2011
Lowering the SNR Wall for Energy Detection Using Cross-Correlation.
IEEE Trans. Veh. Technol., 2011

The problem with time in mixed continuous/discrete time modelling.
SIGBED Rev., 2011

Mobile satellite reception with a virtual satellite dish based on a reconfigurable multi-processor architecture.
Microprocess. Microsystems, 2011

A Correlating Receiver for OFDM at Low SNR.
Proceedings of the 73rd IEEE Vehicular Technology Conference, 2011

Exploring the Use of Two Antennas for Crosscorrelation Spectrum Sensing.
Proceedings of the 74th IEEE Vehicular Technology Conference, 2011

Special session on "adaptive systems".
Proceedings of the 2011 International Conference on Embedded Computer Systems: Architectures, 2011

Multi-domain transformational design flow for embedded systems.
Proceedings of the 2011 International Conference on Embedded Computer Systems: Architectures, 2011

Adaptive resource allocation for streaming applications.
Proceedings of the 2011 International Conference on Embedded Computer Systems: Architectures, 2011

On the Effects of Input Unreliability on Classification Algorithms.
Proceedings of the Mobile and Ubiquitous Systems: Computing, Networking, and Services, 2011

Mixed continuous/discrete time modelling with exact time adjustments.
Proceedings of the 7th International Wireless Communications and Mobile Computing Conference, 2011

Online Univariate Outlier Detection in Final Test: A Robust Rolling Horizon Approach.
Proceedings of the 16th European Test Symposium, 2011

Evaluation of scheduling heuristics for jitter reduction of real-time streaming applications on multi-core general purpose hardware.
Proceedings of the 9th IEEE Symposium on Embedded Systems for Real-Time Multimedia, 2011

Multicore soc for on-board payload signal processing.
Proceedings of the 2011 NASA/ESA Conference on Adaptive Hardware and Systems, 2011

2010
Management and Control of Domestic Smart Grid Technology.
IEEE Trans. Smart Grid, 2010

Buffer capacity computation for throughput-constrained modal task graphs.
ACM Trans. Embed. Comput. Syst., 2010

Run-time Spatial Mapping of Streaming Applications to Heterogeneous Multi-Processor Systems.
Int. J. Parallel Program., 2010

DVB-S Signal Tracking Techniques for Mobile Phased Arrays.
Proceedings of the 72nd IEEE Vehicular Technology Conference, 2010

Benchmarking set for domestic smart grid management.
Proceedings of the IEEE PES Conference on Innovative Smart Grid Technologies, 2010

An Approximate Maximum Common Subgraph Algorithm for Large Digital Circuits.
Proceedings of the 13th Euromicro Conference on Digital System Design, 2010

Adaptive Beamforming Using the Reconfigurable MONTIUM TP.
Proceedings of the 13th Euromicro Conference on Digital System Design, 2010

Run-time spatial resource management for real-time applications on heterogeneous MPSoCs.
Proceedings of the Design, Automation and Test in Europe, 2010

A mathematical approach towards hardware design.
Proceedings of the Dynamically Reconfigurable Architectures, 11.07. - 16.07.2010, 2010

2009
An Energy and Performance Exploration of Network-on-Chip Architectures.
IEEE Trans. Very Large Scale Integr. Syst., 2009

Spurious-Free Dynamic Range of a Uniform Quantizer.
IEEE Trans. Circuits Syst. II Express Briefs, 2009

Cognitive Radio baseband processing on a reconfigurable platform.
Phys. Commun., 2009

Non-Power-of-Two FFTs: Exploring the Flexibility of the Montium TP.
Int. J. Reconfigurable Comput., 2009

Simulating the Effect on the Energy Efficiency of Smart Grid Technologies.
Proceedings of the 2009 Winter Simulation Conference, 2009

Inter-task communication via overlapping read and write windows for deadlock-free execution of cyclic task graphs.
Proceedings of the 2009 International Conference on Embedded Computer Systems: Architectures, 2009

Dynamic workload peak detection for slack management.
Proceedings of the 2008 IEEE International Symposium on System-on-Chip, 2009

The 3TU embedded systems master in the Netherlands.
Proceedings of the 2009 Workshop on Embedded Systems Education, 2009

Towards Effective Modeling and Programming Multi-core Tiled Reconfigurable Architectures.
Proceedings of the 2009 International Conference on Engineering of Reconfigurable Systems & Algorithms, 2009

Monotonicity and run-time scheduling.
Proceedings of the 9th ACM & IEEE International conference on Embedded software, 2009

Low-Complexity Hyperspectral Image Compression on a Multi-tiled Architecture.
Proceedings of the NASA/ESA Conference on Adaptive Hardware and Systems, 2009

2008
Towards Software Defined Radios Using Coarse-Grained Reconfigurable Hardware.
IEEE Trans. Very Large Scale Integr. Syst., 2008

Cognitive Radio Design on an MPSoC Reconfigurable Platform.
Mob. Networks Appl., 2008

Multi-core architectures and streaming applications.
Proceedings of the Tenth International Workshop on System-Level Interconnect Prediction (SLIP 2008), 2008

Communication between nested loop programs via circular buffers in an embedded multiprocessor system.
Proceedings of the 11th International Workshop on Software and Compilers for Embedded Systems, 2008

Buffer Capacity Computation for Throughput Constrained Streaming Applications with Data-Dependent Inter-Task Communication.
Proceedings of the 14th IEEE Real-Time and Embedded Technology and Applications Symposium, 2008

An oversampled filter bank multicarrier system for Cognitive Radio.
Proceedings of the IEEE 19th International Symposium on Personal, 2008

Impact of power-management granularity on the energy-quality trade-off for soft and hard real-time applications.
Proceedings of the 2008 IEEE International Symposium on System-on-Chip, 2008

Dynamically Reconfigurable FFTs for Cognitive Radio on a Multiprocessor Platform.
Proceedings of the 2008 International Conference on Engineering of Reconfigurable Systems & Algorithms, 2008

IRIS: A Firmware Design Methodology for SIMD Architectures.
Proceedings of the 11th Euromicro Conference on Digital System Design: Architectures, 2008

Computation of Buffer Capacities for Throughput Constrained and Data Dependent Inter-Task Communication.
Proceedings of the Design, Automation and Test in Europe, 2008

Run-time Spatial Mapping of Streaming Applications to a Heterogeneous Multi-Processor System-on-Chip (MPSOC).
Proceedings of the Design, Automation and Test in Europe, 2008

2007
The Chameleon Architecture for Streaming DSP Applications.
EURASIP J. Embed. Syst., 2007

Modelling run-time arbitration by latency-rate servers in dataflow graphs.
Proceedings of the 10th International Workshop on Software and Compilers for Embedded Systems, 2007

Image Quantisation on a Massively Parallel Embedded Processor.
Proceedings of the Embedded Computer Systems: Architectures, 2007

Efficient Computation of Buffer Capacities for Cyclo-Static Real-Time Systems with Back-Pressure.
Proceedings of the 13th IEEE Real-Time and Embedded Technology and Applications Symposium, 2007

Fast, Accurate and Detailed NoC Simulations.
Proceedings of the First International Symposium on Networks-on-Chips, 2007

A System-level Design Method for Cognitive Radio on a Reconfigurable Multi-processor Architecture.
Proceedings of the International Symposium on System-on-Chip, 2007

Implementing the conjugate gradient algorithm on multi-core systems.
Proceedings of the International Symposium on System-on-Chip, 2007

Mapping streaming applications on a reconfigurable MPSoC platform at run-time.
Proceedings of the International Symposium on System-on-Chip, 2007

Using an FPGA for Fast Bit Accurate SoC Simulation.
Proceedings of the 21th International Parallel and Distributed Processing Symposium (IPDPS 2007), 2007

An Efficient FFT For OFDM Based Cognitive Radio On A Reconfigurable Architecture.
Proceedings of IEEE International Conference on Communications, 2007

Implementation of a 2-D 8x8 IDCT on the Reconfigurable Montium Core.
Proceedings of the FPL 2007, 2007

Interposing Flash between Disk and DRAM to Save Energy for Streaming Workloads.
Proceedings of the 2007 5th Workshop on Embedded Systems for Real-Time Multimedia, 2007

Cyclostationary feature detection on a tiled-SoC.
Proceedings of the 2007 Design, Automation and Test in Europe Conference and Exposition, 2007

Demonstration of Run-time Spatial Mapping of Streaming Applications to a Heterogeneous Multi-Processor System-on-Chip (MPSOC).
Proceedings of the Quantitative Aspects of Embedded Systems, 04.03. - 09.03.2007, 2007

07101 Working Group Report -- Performance Measures Other Than Time.
Proceedings of the Quantitative Aspects of Embedded Systems, 04.03. - 09.03.2007, 2007

Efficient Computation of Buffer Capacities for Cyclo-Static Dataflow Graphs.
Proceedings of the 44th Design Automation Conference, 2007

2006
Mining Dynamic Document Spaces with Massively Parallel Embedded Processors.
Proceedings of the Embedded Computer Systems: Architectures, 2006

A Virtual Channel Network-on-Chip for GT and BE traffic.
Proceedings of the 2006 IEEE Computer Society Annual Symposium on VLSI (ISVLSI 2006), 2006

Non-Power-of-Two FFTs: Exploring the Flexibility of the MONTIUM.
Proceedings of the International Symposium on System-on-Chip, 2006

A pattern selection algorithm for multi-pattern scheduling.
Proceedings of the 20th International Parallel and Distributed Processing Symposium (IPDPS 2006), 2006

An optimal architecture for a DDC.
Proceedings of the 20th International Parallel and Distributed Processing Symposium (IPDPS 2006), 2006

Reconfigurable Turbo/Viterbi Channel Decoder in the Coarse-Grained Montium Architecture.
Proceedings of the 2006 International Conference on Engineering of Reconfigurable Systems & Algorithms, 2006

A Column Arrangement Algorithm for a Coarse-grained Reconfigurable Architecture.
Proceedings of the 2006 International Conference on Engineering of Reconfigurable Systems & Algorithms, 2006

Hydra: An Energy-efficient and Reconfigurable Network Interface.
Proceedings of the 2006 International Conference on Engineering of Reconfigurable Systems & Algorithms, 2006

Efficient architectures for streaming applications.
Proceedings of the Dynamically Reconfigurable Architectures, 02.04. - 07.04.2006, 2006

Efficient computation of buffer capacities for multi-rate real-time systems with back-pressure.
Proceedings of the 4th International Conference on Hardware/Software Codesign and System Synthesis, 2006

Providing QoS Guarantees in a NoC by Virtual Channel Reservation.
Proceedings of the Reconfigurable Computing: Architectures and Applications, 2006

2005
Architecture Design Space Exploration for Streaming Applications through Timing Analysis.
Proceedings of the 28th Communicating Process Architectures Conference, 2005

Energy Model of Networks-on-Chip and a Bus.
Proceedings of the 2005 International Symposium on System-on-Chip, 2005

Overview of the 4S Project.
Proceedings of the 2005 International Symposium on System-on-Chip, 2005

Run-time Mapping of Applications to a Heterogeneous SoC.
Proceedings of the 2005 International Symposium on System-on-Chip, 2005

Implementing Non Power-of-Two FFTs on Coarse-Grain Reconfigurable Architectures.
Proceedings of the 2005 International Symposium on System-on-Chip, 2005

An Energy-Efficient Reconfigurable Circuit-Switched Network-on-Chip.
Proceedings of the 19th International Parallel and Distributed Processing Symposium (IPDPS 2005), 2005

Energy-Efficient NoC for Best-Effort Communication.
Proceedings of the 2005 International Conference on Field Programmable Logic and Applications (FPL), 2005

Reconfigurable Architectures for Adaptable Mobile Systems.
Proceedings of The 2005 International Conference on Engineering of Reconfigurable Systems and Algorithms, 2005

Implementing an Adaptive Viterbi Algorithm in Coarse-Grained Reconfigurable Hardware.
Proceedings of The 2005 International Conference on Engineering of Reconfigurable Systems and Algorithms, 2005

A Multi-Pattern Scheduling Algorithm.
Proceedings of The 2005 International Conference on Engineering of Reconfigurable Systems and Algorithms, 2005

Throughput of Streaming Applications Running on a Multiprocessor Architecture.
Proceedings of the Eighth Euromicro Symposium on Digital Systems Design (DSD 2005), 30 August, 2005

2004
Mapping Wireless Communication Algorithms onto a Reconfigurable Architecture.
J. Supercomput., 2004

The Computational Complexity of the Minimum Weight Processor Assignment Problem.
Proceedings of the Graph-Theoretic Concepts in Computer Science, 2004

A virtual channel router for on-chip networks.
Proceedings of the Proceedings 2004 IEEE International SOC Conference, 2004

Lessons learned from designing the MONTIUM - a coarse-grained reconfigurable processing tile.
Proceedings of the 2004 International Symposium on System-on-Chip, 2004

Implementation of a HiperLAN/2 Receiver on the Reconfigurable Montium Architecture.
Proceedings of the 18th International Parallel and Distributed Processing Symposium (IPDPS 2004), 2004

BER Estimation for HiperLAN/2.
Proceedings of the Personal Wireless Communications, IFIP TC6 9th International Conference, 2004

Run-time mapping of applications to a heterogeneous reconfigurable tiled system on chip architecture.
Proceedings of the 2004 IEEE International Conference on Field-Programmable Technology, 2004

Implementation of a flexible RAKE receiver in heterogeneous reconfigurable hardware.
Proceedings of the 2004 IEEE International Conference on Field-Programmable Technology, 2004

Run-Time Adaptation of a Reconfigurable Mobile UMTS Receiver.
Proceedings of the International Conference on Engineering of Reconfigurable Systems and Algorithms, 2004

Overview of the Tool-flow for the Montium Processor Tile.
Proceedings of the International Conference on Engineering of Reconfigurable Systems and Algorithms, 2004

Energy-Efficiency of the MONTIUM Reconfigurable Tile Processor.
Proceedings of the International Conference on Engineering of Reconfigurable Systems and Algorithms, 2004

An Energy-Efficient Network-on-Chip for a Heterogeneous Tiled Reconfigurable Systems-on-Chip.
Proceedings of the 2004 Euromicro Symposium on Digital Systems Design (DSD 2004), Architectures, Methods and Tools, 31 August, 2004

2003
A Flexible and Energy-Efficient Coarse-Grained Reconfigurable Architecture for Mobile Systems.
J. Supercomput., 2003

A graph covering algorithm for a coarse grain reconfigurable system.
Proceedings of the 2003 Conference on Languages, 2003

Template Generation and Selection Algorithms.
Proceedings of the 3rd IEEE International Workshop on System-on-Chip for Real-Time Applications (IWSOC'03), 30 June, 2003

Mapping of DSP Algorithms on the MONTIUM Architecture.
Proceedings of the 17th International Parallel and Distributed Processing Symposium (IPDPS 2003), 2003

Soft Output Bit Error Rate Estimation for WCDMA.
Proceedings of the Personal Wireless Communications, IFIP-TC6 8th International Conference, 2003

Mapping Wireless Communication Algorithms to a Reconfigurable Architecture.
Proceedings of the International Conference on Engineering of Reconfigurable Systems and Algorithms, June 23, 2003

Montium - Balancing between Energy-Efficiency, Flexibility and Performance.
Proceedings of the International Conference on Engineering of Reconfigurable Systems and Algorithms, June 23, 2003

A Communication Model Based on an n-Dimensional Torus Architecture Using Deadlock-Free Wormhole Routing.
Proceedings of the 2003 Euromicro Symposium on Digital Systems Design (DSD 2003), 2003

Mapping Applications to an FPFA Tile.
Proceedings of the 2003 Design, 2003

Mapping Applications to a Coarse Grain Reconfigurable System.
Proceedings of the Advances in Computer Systems Architecture, 2003

2002
Enhancing energy efficient TCP by partial reliability.
Proceedings of the 13th IEEE International Symposium on Personal, 2002

Dynamic Reconfiguration in Mobile Systems.
Proceedings of the Field-Programmable Logic and Applications, 2002

2001
Energy-efficient wireless networking for multimedia applications.
Wirel. Commun. Mob. Comput., 2001

QoS Scheduling for Energy-Efficient Wireless Communication.
Proceedings of the 2001 International Symposium on Information Technology (ITCC 2001), 2001

Energy Management for Dynamically Reconfigurable Heterogeneous Mobile Systems.
Proceedings of the 15th International Parallel & Distributed Processing Symposium (IPDPS-01), 2001

The SMART Project Exploiting the Heterogeneous Mobile World.
Proceedings of the International Conference on Internet Computing, 2001

2000
Energy-efficient wireless ATM design.
Mob. Networks Appl., 2000

Design techniques for low-power systems.
J. Syst. Archit., 2000

Energy-Efficient Adaptive Wireless Network Design.
Proceedings of the Fifth IEEE Symposium on Computers and Communications (ISCC 2000), 2000

Energy-Efficient Hand-Held Multimedia Systems.
Proceedings of the Interactive Distributed Multimedia Systems and Telecommunication Services, 2000

Lessons Learned from the Design of a Mobile Multimedia System in the MOBY DICK Project.
Proceedings of the Handheld and Ubiquitous Computing, Second International Symposium, 2000

Mapping of DSP Algorithms on Field Programmable Function Arrays.
Proceedings of the Field-Programmable Logic and Applications, 2000

1999
Octopus: Embracing the Energy Efficiency of Handheld Multimedia Computers.
Proceedings of the MOBICOM '99, 1999

Chameleon - Reconfigurability in Hand-Held Multimedia Computers.
Proceedings of the Handheld and Ubiquitous Computing, First International Symposium, 1999

1998
Battery-powered distributed systems (extended abstract).
Proceedings of the 8th ACM SIGOPS European Workshop: Support for Composing Distributed Applications, 1998

1997
The Harpoon security system for helper programs on a Pocket Companion.
Proceedings of the 23rd EUROMICRO Conference '97, 1997

1996
Survey of Electronic Payment Methods and Systems.
Proceedings of the EUROMEDIA 1996 featuring WEBTEC-MEDIATEC-COMTEC-APTEC, 1996

Audio Source Location for a Digital TV¬-director.
Proceedings of the EUROMEDIA 1996 featuring WEBTEC-MEDIATEC-COMTEC-APTEC, 1996

1995
Virtual Lines, a Deadlock-Free and Real-Time Routing Mechanism for ATM Networks.
Inf. Sci., 1995

Multicast and Broadcast in the Rattlesnake ATM Switch.
Proceedings of the International Conference on Multimedia Networking, 1995

Rattlesnake - A Single Chip High-Performance ATM Switch.
Proceedings of the International Conference on Multimedia Networking, 1995

1994
A Switch Architecture For Real-time Multimedia Communications.
Proceedings of the Second Euromicro Workshop on Parallel and Distributed Processing, 1994

1993
Performance Analysis of Routing Algorithms for the Rattlesnake Network.
Proceedings of the MASCOTS '93, 1993

1992
On the design of a dynamic reconfigurable network switch.
Microprocess. Microprogramming, 1992

Rattlesnake: a network for real-time multimedia communications.
Comput. Commun. Rev., 1992

The Architecture of Rattlesnake: a Real-Time Multimedia Network.
Proceedings of the Network and Operating System Support for Digital Audio and Video, 1992

A Programmable Communication Architecture Based on Kautz Networks.
Proceedings of the Algorithms, Software, Architecture, 1992

1991
On hardware for generating routes in Kautz digraphs.
Microprocessing and Microprogramming, 1991

An Algorithm for Generating Node Disjoint Routes in Kautz Digraphs.
Proceedings of the Fifth International Parallel Processing Symposium, Proceedings, Anaheim, California, USA, April 30, 1991

1989
Hardware support for the tumult real-time scheduler.
Microprocessing and Microprogramming, 1989

1988
The communication processor of TUMULT-64.
Microprocess. Microprogramming, 1988


  Loading...