Jian Zhao

Orcid: 0000-0003-2140-1236

Affiliations:
  • Shanghai Jiao Tong University, Department of Micro/Nano Electronics, Shanghai, China
  • Tsinghua University, Department of Electronic Engineering, Beijing, China (2017 - 2019)
  • Nanjing University of Science and Technology, School of Mechanical Engineering, Nanjing, China (PhD 2017)
  • National University of Singapore, VLSI and Signal Processing Lab, Singapore (2012 - 2015)


According to our database1, Jian Zhao authored at least 53 papers between 2015 and 2024.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2024
Flexi-EIT: A Flexible and Reconfigurable Active Electrode Electrical Impedance Tomography System.
IEEE Trans. Biomed. Circuits Syst., February, 2024

33.10 A 2.7ps-ToF-Resolution and 12.5mW Frequency-domain NIRS Readout IC with Dynamic Light Sensing Frontend and Cross-Coupling-Free Inter-Stabilized Data Converter.
Proceedings of the IEEE International Solid-State Circuits Conference, 2024

2023
A Re-Configurable Body Channel Transceiver Towards Wearable and Flexible Biomedical Sensor Networks.
IEEE Trans. Biomed. Circuits Syst., October, 2023

A 5.3 pJ/Spike CMOS Neural Array Employing Time-Modulated Axon-Sharing and Background Mismatch Calibration Techniques.
IEEE Trans. Biomed. Circuits Syst., April, 2023

A Comprehensive Study on the Design Methodology of Level Shifter Circuits.
IEEE Trans. Circuits Syst. I Regul. Pap., January, 2023

Maximizing the Resolution of SAR ADC With Multisegmented DAC Using Improved MLE Calibration.
IEEE Trans. Instrum. Meas., 2023

A 5.37-TSOPS/W Reconfigurable Neuron Array with Dual-mode Neurons and Asynchronous Synapses for Energy-Efficient Inference and Biological Neural Network Simulation.
Proceedings of the IEEE Asian Solid-State Circuits Conference, 2023

2022
SPRSound: Open-Source SJTU Paediatric Respiratory Sound Database.
IEEE Trans. Biomed. Circuits Syst., 2022

An Energy-Efficient Wearable Functional Near-infrared Spectroscopy System Employing Dual-level Adaptive Sampling Technique.
IEEE Trans. Biomed. Circuits Syst., 2022

A CMOS Axon-sharing Neuron Array with Background Calibration.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2022

A Sub-0.01° Phase Resolution 6.8-mW fNIRS Readout Circuit Employing a Mixer-First Frequency-Domain Architecture.
Proceedings of the 48th IEEE European Solid State Circuits Conference, 2022

Body-Channel Wireless Power Transfer Employing Transmitter-Side Received Power Monitoring and Maximum Point Tracking.
Proceedings of the IEEE Biomedical Circuits and Systems Conference, 2022

Grand Challenge on Respiratory Sound Classification for SPRSound Dataset.
Proceedings of the IEEE Biomedical Circuits and Systems Conference, 2022

Store, Supply, Extract and Recycle: A Boost/Buck Reconfigurable Converter for Thermal Energy Harvesting.
Proceedings of the IEEE Asia Pacific Conference on Circuit and Systems, 2022

2021
An Asynchronous AC-DC Boost Converter With Event-Driven Voltage Regulator and 94% Efficiency for Low-Frequency Electromagnetic Energy Harvesting.
IEEE Trans. Circuits Syst. II Express Briefs, 2021

IGZO-TFT-PDK: Thin-Film Flexible Electronics Design Kit, Standard Cell and Design Methodology.
IEEE Open J. Circuits Syst., 2021

A Low-Power Heart Rate Sensor with Adaptive Heartbeat Locked Loop.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2021

An Energy Efficient Functional near Infrared Spectroscopy System Employing Spatial Adaptive Sampling Technique.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2021

Omni-Directional Transistors: Enabling Tensile-Force-Resilient Operation for Flexible Circuits and Systems.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2021

FreePDK15TFET: An Open-Source Process Design Kit for 15nm CMOS and TFET devices.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2021

A 1-μA-Quiescent-Current Capacitor-Less LDO Regulator with Adaptive Embedded Slew-Rate Enhancement Circuit.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2021

An Ultra-Low-Voltage Energy-Efficient Dynamic Fully-Regenerative Latch-Based Level-Shifter Circuit with Tunnel-FET & FinFET Devices.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2021

A Multi-Rate Hybrid DT/CT Mash ΔΣ Modulator with High Tolerance to Noise Leakage.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2021

Mismatch of nonlinear stiffness in differential MEMS resonating sensors and its self-test and calibration technique.
Proceedings of the 2021 IEEE International Conference on Integrated Circuits, 2021

A 10-Mbps 119.2-pJ/bit Software Defined Body Channel Transceiver Employing a CCII-based PGA and a 2.5-bit/cycle ADC in 180-nm CMOS.
Proceedings of the 2021 IEEE International Conference on Integrated Circuits, 2021

Low-Hardware-Cost SNN employing FeFET-based Neurons with Tunable Leaky Effect.
Proceedings of the IEEE Biomedical Circuits and Systems Conference, BioCAS 2021, 2021

2020
The Role and Challenges of Body Channel Communication in Wearable Flexible Electronics.
IEEE Trans. Biomed. Circuits Syst., 2020

Investigation and Modeling of Multi-Node Body Channel Wireless Power Transfer.
Sensors, 2020

STICKER: An Energy-Efficient Multi-Sparsity Compatible Accelerator for Convolutional Neural Networks in 65-nm CMOS.
IEEE J. Solid State Circuits, 2020

A Multichannel fNIRS System for Prefrontal Mental Task Classification with Dual-level Excitation and Deep Forest Algorithm.
J. Sensors, 2020

A Third-order Sigma-delta Frequency-to-digital Converter Based on Reset Counter and PLL.
Proceedings of the 2020 IEEE International Conference on Integrated Circuits, 2020

A 53%-PTE and 4-Mbps Power and Data Telemetry Circuit based on Adaptive Duty-cycling BPSK Modulated Class-E Amplifier.
Proceedings of the GLSVLSI '20: Great Lakes Symposium on VLSI 2020, 2020

Sub-Sampling Phase-Locked Loop with Ultra-mini Dead Zone For Locking Time Reduction.
Proceedings of the 2020 IEEE Asia Pacific Conference on Circuits and Systems, 2020

2019
Design Methodology for TFT-Based Pseudo-CMOS Logic Array With Multilayer Interconnection Architecture and Optimization Algorithms.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2019

An Auto Loss Co Jian Zhaompensation System for Capacitive-Coupled Body Channel Communication.
IEEE Trans. Biomed. Circuits Syst., 2019

Dynamic Channel Modeling and OFDM System Analysis for Capacitive Coupling Body Channel Communication.
IEEE Trans. Biomed. Circuits Syst., 2019

A Multi-channel NIRS System for Prefrontal Mental Task Classification Employing Deep Forest Algorithm.
Proceedings of the 2019 IEEE Biomedical Circuits and Systems Conference, 2019

LungBRN: A Smart Digital Stethoscope for Detecting Respiratory Disease Using bi-ResNet Deep Learning Algorithm.
Proceedings of the 2019 IEEE Biomedical Circuits and Systems Conference, 2019

Live Demo: LungSys - Automatic Digital Stethoscope System For Adventitious Respiratory Sound Detection.
Proceedings of the 2019 IEEE Biomedical Circuits and Systems Conference, 2019

Live Demonstration: Prefrontal Mental Task Classification through a Wearable Near-infrared Spectroscopy System.
Proceedings of the 2019 IEEE Biomedical Circuits and Systems Conference, 2019

A 4-Mbps 41-pJ/bit On-off Keying Transceiver for Body-channel Communication with Enhanced Auto Loss Compensation Technique.
Proceedings of the IEEE Asian Solid-State Circuits Conference, 2019

2018
A Sub-0.1°/h Bias-Instability Split-Mode MEMS Gyroscope With CMOS Readout Circuit.
IEEE J. Solid State Circuits, 2018

An Auto Loss Compensation System for Non-contact Capacitive Coupled Body Channel Communication.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2018

An Investigation on Inter-degeneration Effect in Body Channel Based Multi-node Wireless Power Transfer.
Proceedings of the 2018 IEEE Biomedical Circuits and Systems Conference, 2018

2017
A 0.23-µg Bias Instability and 1-µg/√Hz Acceleration Noise Density Silicon Oscillating Accelerometer With Embedded Frequency-to-Digital Converter in PLL.
IEEE J. Solid State Circuits, 2017

A 0.4 µg Bias Instability and 1.2 µg Hz Noise Floor MEMS Silicon Oscillating Accelerometer With CMOS Readout Circuit.
IEEE J. Solid State Circuits, 2017

A miniaturized wearable wireless hand gesture recognition system employing deep-forest classifier.
Proceedings of the IEEE Biomedical Circuits and Systems Conference, 2017

Using human body as a monopole antenna for energy harvesting from ambient electromagnetic energy.
Proceedings of the IEEE Biomedical Circuits and Systems Conference, 2017

Live demonstration: A hand gesture recognition wristband employing low power body channel communication.
Proceedings of the IEEE Biomedical Circuits and Systems Conference, 2017

2016
A 0.23 µg bias instability and 1.6 µg/Hz<sup>1/2</sup> resolution silicon oscillating accelerometer with build-in Σ-Δ frequency-to-digital converter.
Proceedings of the 2016 IEEE Symposium on VLSI Circuits, 2016

2015
A Sub-µg Bias-Instability MEMS Oscillating Accelerometer With an Ultra-Low-Noise Read-Out Circuit in CMOS.
IEEE J. Solid State Circuits, 2015

27.2 A1.2μg/√Hz-resolution 0.4μg-bias-instability MEMS silicon oscillating accelerometer with CMOS readout circuit.
Proceedings of the 2015 IEEE International Solid-State Circuits Conference, 2015

A 0.57°/h bias instability 0.067°/√h angle random walk MEMS gyroscope with CMOS readout circuit.
Proceedings of the IEEE Asian Solid-State Circuits Conference, 2015


  Loading...