Kea-Tiong Tang

Orcid: 0000-0002-9689-1236

According to our database1, Kea-Tiong Tang authored at least 149 papers between 2006 and 2024.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2024
8-Bit Precision 6T SRAM Compute-in-Memory Macro Using Global Bitline-Combining Scheme for Edge AI Chips.
IEEE Trans. Circuits Syst. II Express Briefs, April, 2024

Security and Functional Safety for AI in Embedded Automotive System - A Tutorial.
IEEE Trans. Circuits Syst. II Express Briefs, March, 2024

An 8b-Precision 8-Mb STT-MRAM Near-Memory-Compute Macro Using Weight-Feature and Input-Sparsity Aware Schemes for Energy-Efficient Edge AI Devices.
IEEE J. Solid State Circuits, January, 2024

A Floating-Point 6T SRAM In-Memory-Compute Macro Using Hybrid-Domain Structure for Advanced AI Edge Chips.
IEEE J. Solid State Circuits, January, 2024

A Nonvolatile AI-Edge Processor With SLC-MLC Hybrid ReRAM Compute-in-Memory Macro Using Current-Voltage-Hybrid Readout Scheme.
IEEE J. Solid State Circuits, January, 2024

34.8 A 22nm 16Mb Floating-Point ReRAM Compute-in-Memory Macro with 31.2TFLOPS/W for AI Edge Devices.
Proceedings of the IEEE International Solid-State Circuits Conference, 2024

33.10 A 2.7ps-ToF-Resolution and 12.5mW Frequency-domain NIRS Readout IC with Dynamic Light Sensing Frontend and Cross-Coupling-Free Inter-Stabilized Data Converter.
Proceedings of the IEEE International Solid-State Circuits Conference, 2024

34.2 A 16nm 96Kb Integer/Floating-Point Dual-Mode-Gain-Cell-Computing-in-Memory Macro Achieving 73.3-163.3TOPS/W and 33.2-91.2TFLOPS/W for AI-Edge Devices.
Proceedings of the IEEE International Solid-State Circuits Conference, 2024

2023
A 0.8 V Intelligent Vision Sensor With Tiny Convolutional Neural Network and Programmable Weights Using Mixed-Mode Processing-in-Sensor Technique for Image Classification.
IEEE J. Solid State Circuits, November, 2023

A Rail-to-Rail Transconductance Amplifier Based on Current Generator Circuits.
IEEE Trans. Very Large Scale Integr. Syst., October, 2023

A 4.5 $\mu$W Miniaturized 3-Channel Wireless Intra-Cardiac Acquisition System.
IEEE Trans. Biomed. Circuits Syst., October, 2023

A Multimode Vision Sensor With Temporal Contrast Pixel and Column-Parallel Local Binary Pattern Extraction for Dynamic Depth Sensing Using Stereo Vision.
IEEE J. Solid State Circuits, October, 2023

An Area-Efficient Accelerator for Non-Maximum Suppression.
IEEE Trans. Circuits Syst. II Express Briefs, June, 2023

A 5.3 pJ/Spike CMOS Neural Array Employing Time-Modulated Axon-Sharing and Background Mismatch Calibration Techniques.
IEEE Trans. Biomed. Circuits Syst., April, 2023

GEM: A Generalized Memristor Device Modeling Framework Based on Neural Network for Transient Circuit Simulation.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., March, 2023

A 8-b-Precision 6T SRAM Computing-in-Memory Macro Using Segmented-Bitline Charge-Sharing Scheme for AI Edge Chips.
IEEE J. Solid State Circuits, March, 2023

Implementation of a Multipath Fully Differential OTA in 0.18-μm CMOS Process.
IEEE Trans. Very Large Scale Integr. Syst., 2023

8-b Precision 8-Mb ReRAM Compute-in-Memory Macro Using Direct-Current-Free Time-Domain Readout Scheme for AI Edge Devices.
IEEE J. Solid State Circuits, 2023

A 28nm Nonvolatile AI Edge Processor using 4Mb Analog-Based Near-Memory-Compute ReRAM with 27.2 TOPS/W for Tiny AI Edge Devices.
Proceedings of the 2023 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits), 2023

ANP-G: A 28nm 1.04pJ/SOP Sub-mm2 Spiking and Back-propagation Hybrid Neural Network Asynchronous Olfactory Processor Enabling Few-shot Class-incremental On-chip Learning.
Proceedings of the 2023 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits), 2023

ANP-I: A 28nm 1.5pJ/SOP Asynchronous Spiking Neural Network Processor Enabling Sub-O.1 μJ/Sample On-Chip Learning for Edge-AI Applications.
Proceedings of the IEEE International Solid- State Circuits Conference, 2023

A 22nm 832Kb Hybrid-Domain Floating-Point SRAM In-Memory-Compute Macro with 16.2-70.2TFLOPS/W for High-Accuracy AI-Edge Devices.
Proceedings of the IEEE International Solid- State Circuits Conference, 2023

A Nonvolatile Al-Edge Processor with 4MB SLC-MLC Hybrid-Mode ReRAM Compute-in-Memory Macro and 51.4-251TOPS/W.
Proceedings of the IEEE International Solid- State Circuits Conference, 2023

A 22nm 8Mb STT-MRAM Near-Memory-Computing Macro with 8b-Precision and 46.4-160.1TOPS/W for Edge-AI Devices.
Proceedings of the IEEE International Solid- State Circuits Conference, 2023

Gas Prediction Method Based on Dynamic Response Analysis of Metal Oxide Sensors Under Temperature Modulation.
Proceedings of the 2023 IEEE SENSORS, Vienna, Austria, October 29 - Nov. 1, 2023, 2023

Shared Embedding of X-ray & Enose Networks for Lung Cancer Classification.
Proceedings of the 2023 8th International Conference on Biomedical Imaging, 2023

A 69MHz-Bandwidth 40V/μs-Slew-rate 3nV/√Hz-Noises 4.5μV-Offset Chopper Operational Amplifier.
Proceedings of the IEEE Custom Integrated Circuits Conference, 2023

Forehead BCG Signal Analysis: Characterization and Robust Detection of Heartbeats Using Cosine Similarity and Energy-Based Approach.
Proceedings of the IEEE Biomedical Circuits and Systems Conference, 2023

A 5.37-TSOPS/W Reconfigurable Neuron Array with Dual-mode Neurons and Asynchronous Synapses for Energy-Efficient Inference and Biological Neural Network Simulation.
Proceedings of the IEEE Asian Solid-State Circuits Conference, 2023

A 40nm area-efficient Effective-bit-combination-based DNN accelerator with the reconfigurable multiplier.
Proceedings of the 5th IEEE International Conference on Artificial Intelligence Circuits and Systems, 2023

LG-LSQ: Learned Gradient Linear Symmetric Quantization for Low-Precision Integer Hardware.
Proceedings of the 5th IEEE International Conference on Artificial Intelligence Circuits and Systems, 2023

An Energy-Efficient and Reconfigurable CNN Accelerator Applied To Lung Cancer Detection.
Proceedings of the 5th IEEE International Conference on Artificial Intelligence Circuits and Systems, 2023

2022
A Review of Emerging Electromagnetic-Acoustic Sensing Techniques for Healthcare Monitoring.
IEEE Trans. Biomed. Circuits Syst., December, 2022

A 0.5-V Multiple-Input Bulk-Driven OTA in 0.18-μm CMOS.
IEEE Trans. Very Large Scale Integr. Syst., 2022

0.4-V Tail-Less Quasi-Two-Stage OTA Using a Novel Self-Biasing Transconductance Cell.
IEEE Trans. Circuits Syst. I Regul. Pap., 2022

MARS: Multimacro Architecture SRAM CIM-Based Accelerator With Co-Designed Compressed Neural Networks.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

Incoming Editorial.
IEEE Trans. Biomed. Circuits Syst., 2022

Two-Way Transpose Multibit 6T SRAM Computing-in-Memory Macro for Inference-Training AI Edge Chips.
IEEE J. Solid State Circuits, 2022

LG-LSQ: Learned Gradient Linear Symmetric Quantization.
CoRR, 2022

POPPINS : A Population-Based Digital Spiking Neuromorphic Processor with Integer Quadratic Integrate-and-Fire Neurons.
CoRR, 2022

Low-Voltage Implementation of Neuromorphic Circuits for a Spike-Based Learning Control Module.
IEEE Access, 2022

A 28nm 1Mb Time-Domain Computing-in-Memory 6T-SRAM Macro with a 6.6ns Latency, 1241GOPS and 37.01TOPS/W for 8b-MAC Operations for Edge-AI Devices.
Proceedings of the IEEE International Solid-State Circuits Conference, 2022

An 8-Mb DC-Current-Free Binary-to-8b Precision ReRAM Nonvolatile Computing-in-Memory Macro using Time-Space-Readout with 1286.4-21.6TOPS/W for Edge-AI Devices.
Proceedings of the IEEE International Solid-State Circuits Conference, 2022

A 0.8V Intelligent Vision Sensor with Tiny Convolutional Neural Network and Programmable Weights Using Mixed-Mode Processing-in-Sensor Technique for Image Classification.
Proceedings of the IEEE International Solid-State Circuits Conference, 2022

A 22nm 4Mb STT-MRAM Data-Encrypted Near-Memory Computation Macro with a 192GB/s Read-and-Decryption Bandwidth and 25.1-55.1TOPS/W 8b MAC for AI Operations.
Proceedings of the IEEE International Solid-State Circuits Conference, 2022

A CMOS Axon-sharing Neuron Array with Background Calibration.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2022

A Two-stage Training Framework for Hardware Constraints of Computing-in-Memory Architecture.
Proceedings of the IEEE Asia Pacific Conference on Circuit and Systems, 2022

A 104.76-TOPS/W, Spike-Based Convolutional Neural Network Accelerator with Reduced On-Chip Memory Data Flow and Operation Unit Skipping.
Proceedings of the IEEE Asia Pacific Conference on Circuit and Systems, 2022

A 1.93TOPS/W Deep Learning Processor with a Reconfigurable Processing Element Array Based on SRAM Access Optimization.
Proceedings of the IEEE Asia Pacific Conference on Circuit and Systems, 2022

A 62.45 TOPS/W Spike-Based Convolution Neural Network Accelerator with Spatiotemporal Parallel Data Flow and Sparsity Mechanism.
Proceedings of the 4th IEEE International Conference on Artificial Intelligence Circuits and Systems, 2022

MARSv2: Multicore and Programmable Reconstruction Architecture SRAM CIM-Based Accelerator with Lightweight Network.
Proceedings of the 4th IEEE International Conference on Artificial Intelligence Circuits and Systems, 2022

2021
An Enhanced Input Differential Pair for Low-Voltage Bulk-Driven Amplifiers.
IEEE Trans. Very Large Scale Integr. Syst., 2021

An Adjustable Dual-Output Current Mode MOSFET-Only Filter.
IEEE Trans. Circuits Syst. II Express Briefs, 2021

A 0.3-V Conductance-Based Silicon Neuron in 0.18 μm CMOS Process.
IEEE Trans. Circuits Syst. II Express Briefs, 2021

Editorial Special Section on Selected Papers From ISICAS 2020.
IEEE Trans. Biomed. Circuits Syst., 2021

A Local Computing Cell and 6T SRAM-Based Computing-in-Memory Macro With 8-b MAC Operation for Edge AI Chips.
IEEE J. Solid State Circuits, 2021

A 0.5-V Real-Time Computational CMOS Image Sensor With Programmable Kernel for Feature Extraction.
IEEE J. Solid State Circuits, 2021

A 0.8 V Multimode Vision Sensor for Motion and Saliency Detection With Ping-Pong PWM Pixel.
IEEE J. Solid State Circuits, 2021

A 22nm 4Mb 8b-Precision ReRAM Computing-in-Memory Macro with 11.91 to 195.7TOPS/W for Tiny AI Edge Devices.
Proceedings of the IEEE International Solid-State Circuits Conference, 2021

16.3 A 28nm 384kb 6T-SRAM Computation-in-Memory Macro with 8b Precision for AI Edge Chips.
Proceedings of the IEEE International Solid-State Circuits Conference, 2021

POPPINS: A Population-Based Digital Spiking Neuromorphic Processor with Integer Quadratic Integrate-and-Fire Neurons.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2021

Low-Hardware-Cost SNN employing FeFET-based Neurons with Tunable Leaky Effect.
Proceedings of the IEEE Biomedical Circuits and Systems Conference, BioCAS 2021, 2021

Integer Quadratic Integrate-and-Fire (IQIF): A Neuron Model for Digital Neuromorphic Systems.
Proceedings of the 3rd IEEE International Conference on Artificial Intelligence Circuits and Systems, 2021

A Bio-Inspired Motion Detection Circuit Model for the Computation of Optical Flow: The Spatial-Temporal Filtering Reichardt Model.
Proceedings of the 3rd IEEE International Conference on Artificial Intelligence Circuits and Systems, 2021

2020
A Fully Integrated High-Power-Supply-Rejection Linear Regulator With an Output-Supplied Voltage Reference.
IEEE Trans. Circuits Syst., 2020

Editorial.
IEEE Trans. Biomed. Circuits Syst., 2020

Embedded 1-Mb ReRAM-Based Computing-in- Memory Macro With Multibit Input and Weight for CNN-Based AI Edge Processors.
IEEE J. Solid State Circuits, 2020

A Twin-8T SRAM Computation-in-Memory Unit-Macro for Multibit CNN-Based AI Edge Processors.
IEEE J. Solid State Circuits, 2020

A 4-Kb 1-to-8-bit Configurable 6T SRAM-Based Computation-in-Memory Unit-Macro for CNN-Based AI Edge Processors.
IEEE J. Solid State Circuits, 2020

MARS: Multi-macro Architecture SRAM CIM-Based Accelerator with Co-designed Compressed Neural Networks.
CoRR, 2020

A Minimum Distance Inlier Probability (MDIP) Feature Selection Method to Improve Gas Classification for Electronic Nose Systems.
IEEE Access, 2020

Computing-In-Memory a Processing-In-Sensor Techniques for Low-Power Edge Devices.
Proceedings of the 2020 International Symposium on VLSI Design, Automation and Test, 2020

Breath Analysis for Early Detection and Rapid Diagnosis of Diseases for Preventive Medicine.
Proceedings of the 2020 International Symposium on VLSI Design, Automation and Test, 2020

15.4 A 22nm 2Mb ReRAM Compute-in-Memory Macro with 121-28TOPS/W for Multibit MAC Computing for Tiny AI Edge Devices.
Proceedings of the 2020 IEEE International Solid- State Circuits Conference, 2020

15.2 A 28nm 64Kb Inference-Training Two-Way Transpose Multibit 6T SRAM Compute-in-Memory Macro for AI Edge Chips.
Proceedings of the 2020 IEEE International Solid- State Circuits Conference, 2020

15.5 A 28nm 64Kb 6T SRAM Computing-in-Memory Macro with 8b MAC Operation for AI Edge Chips.
Proceedings of the 2020 IEEE International Solid- State Circuits Conference, 2020

5.9 A 0.8V Multimode Vision Sensor for Motion and Saliency Detection with Ping-Pong PWM Pixel.
Proceedings of the 2020 IEEE International Solid- State Circuits Conference, 2020

2019
An Energy-Efficient SAR ADC With Event-Triggered Error Correction.
IEEE Trans. Circuits Syst. II Express Briefs, 2019

Considerations Of Integrating Computing-In-Memory And Processing-In-Sensor Into Convolutional Neural Network Accelerators For Low-Power Edge Devices.
Proceedings of the 2019 Symposium on VLSI Circuits, Kyoto, Japan, June 9-14, 2019, 2019

A 1Mb Multibit ReRAM Computing-In-Memory Macro with 14.6ns Parallel MAC Computing Time for CNN Based AI Edge Processors.
Proceedings of the IEEE International Solid- State Circuits Conference, 2019

A Twin-8T SRAM Computation-In-Memory Macro for Multiple-Bit CNN-Based Machine Learning.
Proceedings of the IEEE International Solid- State Circuits Conference, 2019

Gas Mixture Analysis Based on Metal-Oxide Semiconductor gas sensors with Temperature Modulated Method.
Proceedings of the IEEE International Symposium on Olfaction and Electronic Nose, 2019

A Minimum Distance Inliers Probablity (MDIP) Feature Selection Method To Enhance Gas Classification For An Electronic Nose System.
Proceedings of the IEEE International Symposium on Olfaction and Electronic Nose, 2019

Conversion of Artificial Neural Network to Spiking Neural Network for Hardware Implementation.
Proceedings of the IEEE International Conference on Consumer Electronics - Taiwan, 2019

A 55nm 1-to-8 bit Configurable 6T SRAM based Computing-in-Memory Unit-Macro for CNN-based AI Edge Processors.
Proceedings of the IEEE Asian Solid-State Circuits Conference, 2019

A 0.5V Real-Time Computational CMOS Image Sensor with Programmable Kernel for Always-On Feature Extraction.
Proceedings of the IEEE Asian Solid-State Circuits Conference, 2019

On-chip Learning of Multilayer Perceptron Based on Memristors with Limited Multilevel States.
Proceedings of the IEEE International Conference on Artificial Intelligence Circuits and Systems, 2019

2018
A 1-V 2.6-mW Environmental Compensated Fully Integrated Nose-on-a-Chip.
IEEE Trans. Circuits Syst. II Express Briefs, 2018

Development of a Dual MOS Electronic Nose/Camera System for Improving Fruit Ripeness Classification.
Sensors, 2018

A high learning capability probabilistic spiking neural network chip.
Proceedings of the 2018 International Symposium on VLSI Design, 2018

A 65nm 1Mb nonvolatile computing-in-memory ReRAM macro with sub-16ns multiply-and-accumulate for binary DNN AI edge processors.
Proceedings of the 2018 IEEE International Solid-State Circuits Conference, 2018

A Batteryless and Single-Inductor DC-DC Boost Converter for Thermoelectric Energy Harvesting Application with 190mV Cold-Start Voltage.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2018

A Neuromorphic Computing System for Bitwise Neural Networks Based on ReRAM Synaptic Array.
Proceedings of the 2018 IEEE Biomedical Circuits and Systems Conference, 2018

A 0.65-V 10-bit 320-kS/s SAR-ADC with Charge Average and Skip Switching Algorithm.
Proceedings of the 2018 IEEE Asia Pacific Conference on Circuits and Systems, 2018

An Area-Efficient Differential Serial DAC with Mismatch Compensation Scheme.
Proceedings of the 2018 IEEE Asia Pacific Conference on Circuits and Systems, 2018

2017
A 0.9-V 2.36-GHz MedRadio-band 10-Mbps low-power OOK modulator for neural implants.
Proceedings of the 2017 International Symposium on VLSI Design, Automation and Test, 2017

A 0.7 V Capacitance-To-Digital Converter for Interdigitated Electrode Capacitive Vapor Sensors.
Proceedings of the New Generation of CAS, 2017

Extraction of EEG signals during L/R hand motor imagery based on ERD/S.
Proceedings of the 2017 International Symposium on Intelligent Signal Processing and Communication Systems, 2017

An Analog Probabilistic Spiking Neural Network with On-Chip Learning.
Proceedings of the Neural Information Processing - 24th International Conference, 2017

2016
A 0.5 V 1.28-MS/s 4.68-fJ/Conversion-Step SAR ADC With Energy-Efficient DAC and Trilevel Switching Scheme.
IEEE Trans. Very Large Scale Integr. Syst., 2016

A Battery-Less, Implantable Neuro-Electronic Interface for Studying the Mechanisms of Deep Brain Stimulation in Rat Models.
IEEE Trans. Biomed. Circuits Syst., 2016

An Inductive Power and Data Telemetry Subsystem With Fast Transient Low Dropout Regulator for Biomedical Implants.
IEEE Trans. Biomed. Circuits Syst., 2016

A Low Noise CMOS Readout Based on a Polymer-Coated SAW Array for Miniature Electronic Nose.
Sensors, 2016

Design of a 0.5 V 1.68mW nose-on-a-chip for rapid screen of chronic obstructive pulmonary disease.
Proceedings of the IEEE Biomedical Circuits and Systems Conference, 2016

2015
A Bio-Inspired Two-Layer Sensing Structure of Polypeptide and Multiple-Walled Carbon Nanotube to Sense Small Molecular Gases.
Sensors, 2015

A wireless power transmission subsystem with capacitor-less high PSR LDO and thermal protection mechanism for artificial retina application.
Proceedings of the VLSI Design, Automation and Test, 2015

A 0.5-V 1.28-MS/s 10-bit SAR ADC with switching detect logic.
Proceedings of the 2015 IEEE International Symposium on Circuits and Systems, 2015

A 0.5-V multi-channel low-noise readout front-end for portable EEG acquisition.
Proceedings of the 37th Annual International Conference of the IEEE Engineering in Medicine and Biology Society, 2015

A scalable and adaptable probabilistic model embedded in an electronic nose for intelligent sensor fusion.
Proceedings of the IEEE Biomedical Circuits and Systems Conference, 2015

2014
Guest Editorial - ISCAS 2013 Special Issue.
IEEE Trans. Biomed. Circuits Syst., 2014

A Fully Integrated Nose-on-a-Chip for Rapid Diagnosis of Ventilator-Associated Pneumonia.
IEEE Trans. Biomed. Circuits Syst., 2014

Guest Editorial Microwatts Wireless Technologies.
IEEE J. Emerg. Sel. Topics Circuits Syst., 2014

A signal acquisition and processing chip with built-in cluster for chemiresistive gas sensor array.
Proceedings of the IEEE 12th International New Circuits and Systems Conference, 2014

24.5 A 0.5V 1.27mW nose-on-a-chip for rapid diagnosis of ventilator-associated pneumonia.
Proceedings of the 2014 IEEE International Conference on Solid-State Circuits Conference, 2014

Improving classification accuracy of SSVEP based BCI using RBF SVM with signal quality evaluation.
Proceedings of the International Symposium on Intelligent Signal Processing and Communication Systems, 2014

2013
Hardware Friendly Probabilistic Spiking Neural Network With Long-Term and Short-Term Plasticity.
IEEE Trans. Neural Networks Learn. Syst., 2013

An Analog Multilayer Perceptron Neural Network for a Portable Electronic Nose.
Sensors, 2013

Towards a Chemiresistive Sensor-Integrated Electronic Nose: A Review.
Sensors, 2013

A SAR ADC with energy-efficient DAC and tri-level switching scheme.
Proceedings of the 2013 IEEE International Symposium on Circuits and Systems (ISCAS2013), 2013

Challenges in circuits for visual prostheses.
Proceedings of the 2013 IEEE International Symposium on Circuits and Systems (ISCAS2013), 2013

A novel wireless power and data transmission AC to DC converter for an implantable device.
Proceedings of the 35th Annual International Conference of the IEEE Engineering in Medicine and Biology Society, 2013

A 1V low power second-order delta-sigma modulator for biomedical signal applicaion.
Proceedings of the 35th Annual International Conference of the IEEE Engineering in Medicine and Biology Society, 2013

An ADC-free adaptive interface circuit of resistive sensor for electronic nose system.
Proceedings of the 35th Annual International Conference of the IEEE Engineering in Medicine and Biology Society, 2013

A 10-bit 1kS/s-30kS/s successive approximation register analog-to-digital converter for biological signal acquisition.
Proceedings of the 6th International Conference on Biomedical Engineering and Informatics, 2013

An embedded probabilistic neural network with on-chip learning capability.
Proceedings of the 2013 IEEE Biomedical Circuits and Systems Conference (BioCAS), Rotterdam, The Netherlands, October 31, 2013

An implantable microsystem for long-term study on the mechanism of deep brain stimulation.
Proceedings of the 2013 IEEE Biomedical Circuits and Systems Conference (BioCAS), Rotterdam, The Netherlands, October 31, 2013

An on-chip learning, low-power probabilistic spiking neural network with long-term memory.
Proceedings of the 2013 IEEE Biomedical Circuits and Systems Conference (BioCAS), Rotterdam, The Netherlands, October 31, 2013

2012
VLSI Implementation of a Bio-Inspired Olfactory Spiking Neural Network.
IEEE Trans. Neural Networks Learn. Syst., 2012

Towards a fully integrated electronic nose SoC.
Proceedings of the 55th IEEE International Midwest Symposium on Circuits and Systems, 2012

A wireless pulse oximetry system with active noise cancellation of motion artifacts.
Proceedings of the 2012 IEEE Biomedical Circuits and Systems Conference, 2012

CMOS surface acoustic wave oscillator with low noise synchronous type readout circuits.
Proceedings of the 2012 IEEE Biomedical Circuits and Systems Conference, 2012

A 90 nm CMOS low noise readout front-end for portable biopotential signal acquisition.
Proceedings of the 2012 IEEE Biomedical Circuits and Systems Conference, 2012

Live demonstration: A smart portable electronic nose system for fruity odors identification.
Proceedings of the 2012 IEEE Biomedical Circuits and Systems Conference, 2012

A digitally trimmable low-noise low-power analog front-end for EEG signal acquisition.
Proceedings of 2012 IEEE-EMBS International Conference on Biomedical and Health Informatics, 2012

A spiking neural network chip for odor data classification.
Proceedings of the IEEE Asia Pacific Conference on Circuits and Systems, 2012

An implantable microsystem for studying the Parkinson's Disease.
Proceedings of the IEEE Asia Pacific Conference on Circuits and Systems, 2012

2011
A Low-Power Electronic Nose Signal-Processing Chip for a Portable Artificial Olfaction System.
IEEE Trans. Biomed. Circuits Syst., 2011

A Single-Walled Carbon Nanotube Network Gas Sensing Device.
Sensors, 2011

An Electronic-Nose Sensor Node Based on a Polymer-Coated Surface Acoustic Wave Array for Wireless Sensor Network Applications.
Sensors, 2011

Polymer-coated surface acoustic wave sensor array for low concentration NH3 detection.
Proceedings of the 6th IEEE International Conference on Nano/Micro Engineered and Molecular Systems, 2011

Active noise cancellation of motion artifacts in pulse oximetry using isobestic wavelength light source.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2011), 2011

A physiological valence/arousal model from musical rhythm to heart rhythm.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2011), 2011

A band-tunable, multichannel amplifier for neural recording with AP/LFP separation and dual-threshold adaptive AP detector.
Proceedings of the 33rd Annual International Conference of the IEEE Engineering in Medicine and Biology Society, 2011

A 1.72μW, 23.2fj/conversion step successive approach ADC for bio-medical signal acquisition.
Proceedings of the 33rd Annual International Conference of the IEEE Engineering in Medicine and Biology Society, 2011

2010
A Local Weighted Nearest Neighbor Algorithm and a Weighted and Constrained Least-Squared Method for Mixed Odor Analysis by Electronic Nose Systems.
Sensors, 2010

Development of a Portable Electronic Nose System for the Detection and Classification of Fruity Odors.
Sensors, 2010

A low-power, high-resolution WTA utilizing translinear-loop pre-amplifier.
Proceedings of the International Joint Conference on Neural Networks, 2010

2009
A Portable Electronic Nose System that Can Detect Fruity Odors.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2009), 2009

2006
Towards a Wearable Electronic Nose Chip.
Proceedings of the IEEE 2006 Custom Integrated Circuits Conference, 2006


  Loading...