Longyang Lin

Orcid: 0000-0002-4702-737X

According to our database1, Longyang Lin authored at least 43 papers between 2017 and 2023.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2023
NUTS-BSNN: A non-uniform time-step binarized spiking neural network with energy-efficient in-memory computing macro.
Neurocomputing, December, 2023

A Re-Configurable Body Channel Transceiver Towards Wearable and Flexible Biomedical Sensor Networks.
IEEE Trans. Biomed. Circuits Syst., October, 2023

Laser Voltage Probing Attack Detection With 100% Area/Time Coverage at Above/Below the Bandgap Wavelength and Fully-Automated Design.
IEEE J. Solid State Circuits, October, 2023

A low-power charge-based integrate-and-fire circuit for binarized-spiking neural network.
Int. J. Circuit Theory Appl., July, 2023

Voltage Reference With Corner-Aware Replica Selection/Merging for 1.4-mV Accuracy in Harvested Systems Down to 3.9 pW, 0.2 V.
IEEE Access, 2023

Self-Referenced Design-Agnostic Laser Voltage Probing Attack Detection with 100% Protection Coverage, 58% Area Overhead for Automated Design.
Proceedings of the 2023 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits), 2023

Wireless Body-Area Network Transceiver ICs with Concurrent Body-Coupled Powering and Communication using Single Electrode.
Proceedings of the 2023 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits), 2023

Voltage Scaling-Agnostic Counteraction of Side-Channel Neural Net Reverse Engineering via Machine Learning Compensation and Multi-Level Shuffling.
Proceedings of the 2023 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits), 2023

Biomedical System-on-Chip Design with Integrated Body-Coupled Powering.
Proceedings of the IEEE Biomedical Circuits and Systems Conference, 2023

Intra-Body Wireless Power and Data Links for Biomedical Microsystems.
Proceedings of the IEEE Biomedical Circuits and Systems Conference, 2023

2022
A Configurable Floating-Point Multiple-Precision Processing Element for HPC and AI Converged Computing.
IEEE Trans. Very Large Scale Integr. Syst., 2022

On-Chip Laser Voltage Probing Attack Detection with 100% Area Coverage at Above/Below the Bandgap Wavelength and Fully-Automated Design.
Proceedings of the IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits 2022), 2022

Imager with Dynamic LSB Adaptation and Ratiometric Readout for Low-Bit Depth 5-μW Peak Power in Purely-Harvested Systems.
Proceedings of the IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits 2022), 2022

BatDrone: A 9.83M-focal-points/s 7.76µs-Latency Ultrasound Imaging System with On-Chip Per-Voxel RX Beamfocusing for 7m-Range Drone Applications.
Proceedings of the IEEE International Solid-State Circuits Conference, 2022

Side-Channel Attack Counteraction via Machine Learning-Targeted Power Compensation for Post-Silicon HW Security Patching.
Proceedings of the IEEE International Solid-State Circuits Conference, 2022

Body-Channel Wireless Power Transfer Employing Transmitter-Side Received Power Monitoring and Maximum Point Tracking.
Proceedings of the IEEE Biomedical Circuits and Systems Conference, 2022

Quantum Efficiency Enhancement in Si Avalanche Photodiodes in the NIR Regime via Surface Texturing Towards Autonomous Driving Applications.
Proceedings of the IEEE Asia Pacific Conference on Circuit and Systems, 2022

2021
A Robust, High-Speed and Energy-Efficient Ultralow-Voltage Level Shifter.
IEEE Trans. Circuits Syst. II Express Briefs, 2021

A 0.6-to-1.8V CMOS Current Reference With Near-100% Power Utilization.
IEEE Trans. Circuits Syst. II Express Briefs, 2021

Sub-nW Microcontroller With Dual-Mode Logic and Self-Startup for Battery-Indifferent Sensor Nodes.
IEEE J. Solid State Circuits, 2021

±CIM SRAM for Signed In-Memory Broad-Purpose Computing From DSP to Neural Processing.
IEEE J. Solid State Circuits, 2021

Trimming-Less Voltage Reference for Highly Uncertain Harvesting Down to 0.25 V, 5.4 pW.
IEEE J. Solid State Circuits, 2021

A One-Shot Learning, Online-Tuning, Closed-Loop Epilepsy Management SoC with 0.97μJ/Classification and 97.8% Vector-Based Sensitivity.
Proceedings of the 2021 Symposium on VLSI Circuits, Kyoto, Japan, June 13-19, 2021, 2021

Battery-Less IoT Sensor Node with PLL-Less WiFi Backscattering Communications in a 2.5-μW Peak Power Envelope.
Proceedings of the 2021 Symposium on VLSI Circuits, Kyoto, Japan, June 13-19, 2021, 2021

A 10-Mbps 119.2-pJ/bit Software Defined Body Channel Transceiver Employing a CCII-based PGA and a 2.5-bit/cycle ADC in 180-nm CMOS.
Proceedings of the 2021 IEEE International Conference on Integrated Circuits, 2021

A 3.2-pW, 0.2-V Trimming-Less Voltage Reference with 1.4-mV Across-Wafer Total Accuracy.
Proceedings of the 47th ESSCIRC 2021, 2021

Body-Coupled Power Transceiver with Node-Specific Body-Area Powering.
Proceedings of the 47th ESSCIRC 2021, 2021

2020
Automated Design of Reconfigurable Microarchitectures for Accelerators Under Wide-Voltage Scaling.
IEEE Trans. Very Large Scale Integr. Syst., 2020

Body-Area Powering With Human Body-Coupled Power Transmission and Energy Harvesting ICs.
IEEE Trans. Biomed. Circuits Syst., 2020

Integrated Power Management for Battery-Indifferent Systems With Ultra-Wide Adaptation Down to nW.
IEEE J. Solid State Circuits, 2020

Processor Energy-Performance Range Extension Beyond Voltage Scaling via Drop-In Methodologies.
IEEE J. Solid State Circuits, 2020

Multi-Sensor Platform with Five-Order-of-Magnitude System Power Adaptation down to 3.1nW and Sustained Operation under Moonlight Harvesting.
Proceedings of the IEEE Symposium on VLSI Circuits, 2020

A 0.25-V, 5.3-pW Voltage Reference with 25-μV/°C Temperature Coefficient, 140-μV/V Line Sensitivity and 2, 200-μm<sup>2</sup> Area in 180nm.
Proceedings of the IEEE Symposium on VLSI Circuits, 2020

34.5 Human-Body-Coupled Power-Delivery and Ambient-Energy-Harvesting ICs for a Full-Body-Area Power Sustainability.
Proceedings of the 2020 IEEE International Solid- State Circuits Conference, 2020

2019
Reconfigurable Clock Networks for Wide Voltage Scaling.
IEEE J. Solid State Circuits, 2019

A pW-Power Hz-Range Oscillator Operating With a 0.3-1.8-V Unregulated Supply.
IEEE J. Solid State Circuits, 2019

Integrated Power Management and Microcontroller for Ultra-Wide Power Adaptation down to nW.
Proceedings of the 2019 Symposium on VLSI Circuits, Kyoto, Japan, June 9-14, 2019, 2019

Drop-In Energy-Performance Range Extension in Microcontrollers Beyond VDD Scaling.
Proceedings of the IEEE Asian Solid-State Circuits Conference, 2019

2018
Dynamically Adaptable Pipeline for Energy-Efficient Microarchitectures Under Wide Voltage Scaling.
IEEE J. Solid State Circuits, 2018

A 595pW 14pJ/Cycle microcontroller with dual-mode standard cells and self-startup for battery-indifferent distributed sensing.
Proceedings of the 2018 IEEE International Solid-State Circuits Conference, 2018

2017
Design-Oriented Energy Models for Wide Voltage Scaling Down to the Minimum Energy Point.
IEEE Trans. Circuits Syst. I Regul. Pap., 2017

26.3 Reconfigurable clock networks for random skew mitigation from subthreshold to nominal voltage.
Proceedings of the 2017 IEEE International Solid-State Circuits Conference, 2017

Transistor sizing strategy for simultaneous energy-delay optimization in CMOS buffers.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2017


  Loading...