Kuang-Chien Chen

According to our database1, Kuang-Chien Chen authored at least 37 papers between 1988 and 2005.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2005
An Efficient Sequential SAT Solver With Improved Search Strategies.
Proceedings of the 2005 Design, 2005

2004
A Divide-and-Conquer-Based Algorithm for Automatic Simulation Vector Generation.
IEEE Des. Test Comput., 2004

2002
A Practical Approach to Cycle Bound Estimation for Property Checking.
Proceedings of the 11th IEEE/ACM International Workshop on Logic & Synthesis, 2002

2001
Verifying sequential equivalence using ATPG techniques.
ACM Trans. Design Autom. Electr. Syst., 2001

2000
AQUILA: An Equivalence Checking System for Large Sequential Designs.
IEEE Trans. Computers, 2000

1999
Logic synthesis for engineering change.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1999

AutoFix: a hybrid tool for automatic logic rectification.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1999

1998
Cost-free scan: a low-overhead scan path design.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1998

Fault-Simulation Based Design Error Diagnosis for Sequential Circuits.
Proceedings of the 35th Conference on Design Automation, 1998

1997
Incremental logic rectification.
Proceedings of the 15th IEEE VLSI Test Symposium (VTS'97), 1997

Error Tracer: A Fault-Simualtion-Based Approach to Design Error Diagnosis.
Proceedings of the Proceedings IEEE International Test Conference 1997, 1997

AQUILA: An equivalence verifier for large sequential circuits.
Proceedings of the ASP-DAC '97 Asia and South Pacific Design Automation Conference, 1997

1996
An ATPG-Based Framework for Verifying Sequential Equivalence.
Proceedings of the Proceedings IEEE International Test Conference 1996, 1996

A novel methodology for transistor-level power estimation.
Proceedings of the 1996 International Symposium on Low Power Electronics and Design, 1996

On Verifying the Correctness of Retimed Circuits.
Proceedings of the 6th Great Lakes Symposium on VLSI (GLS-VLSI '96), 1996

Sequential Permissible Functions and their Application to Circuit Optimization.
Proceedings of the 1996 European Design and Test Conference, 1996

Compact Vector Generation for Accurate Power Simulation.
Proceedings of the 33st Conference on Design Automation, 1996

Error Correction Based on Verification Techniques.
Proceedings of the 33st Conference on Design Automation, 1996

1995
Re-engineering of timing constrained placements for regular architectures.
Proceedings of the 1995 IEEE/ACM International Conference on Computer-Aided Design, 1995

Cost-free scan: a low-overhead scan path design methodology.
Proceedings of the 1995 IEEE/ACM International Conference on Computer-Aided Design, 1995

Applications of Slack Neighborhood Graphs to Timing Driven Optimization Problems in FPGAs.
Proceedings of the Third International ACM Symposium on Field-Programmable Gate Arrays, 1995

Logic Synthesis for Engineering Change.
Proceedings of the 32st Conference on Design Automation, 1995

Logic rectification and synthesis for engineering change.
Proceedings of the 1995 Conference on Asia Pacific Design Automation, Makuhari, Massa, Chiba, Japan, August 29, 1995

1994
LUT-based FPGA technology mapping under arbitrary net-delay models.
Comput. Graph., 1994

1993
A performance driven hierarchical partitioning placement algorithm.
Proceedings of the European Design Automation Conference 1993, 1993

Boolean matching based on Boolean unification.
Proceedings of the European Design Automation Conference 1993, 1993

1992
DAG-Map: Graph-Based FPGA Technology Mapping for Delay Optimization.
IEEE Des. Test Comput., 1992

An Improved Graph-Based FPGA Techology Mapping Algorithm For Delay Optimization.
Proceedings of the Proceedings 1992 IEEE International Conference on Computer Design: VLSI in Computer & Processors, 1992

Maximal reduction of lookup-table based FPGAs.
Proceedings of the conference on European design automation, 1992

Efficient Sum-to-One Subsets Algorithm for Logic Optimization.
Proceedings of the 29th Design Automation Conference, 1992

1991
Logic synthesis and optimization algorithms
PhD thesis, 1991

Concurrent Resynthesis for Network Optimization.
Proceedings of the Proceedings 1991 IEEE International Conference on Computer Design: VLSI in Computer & Processors, 1991

Application of Boolean Unification to Combinational Logic Synthesis.
Proceedings of the 1991 IEEE/ACM International Conference on Computer-Aided Design, 1991

A Resynthesis Approach for Network Optimization.
Proceedings of the 28th Design Automation Conference, 1991

1990
Timing Optimization for Multi-Level Combinational Networks.
Proceedings of the 27th ACM/IEEE Design Automation Conference. Orlando, 1990

1989
SYLON-DREAM: a multi-level network synthesizer.
Proceedings of the 1989 IEEE International Conference on Computer-Aided Design, 1989

1988
Input assignment algorithm for decoded-PLAs with multi-input decoders.
Proceedings of the 1988 IEEE International Conference on Computer-Aided Design, 1988


  Loading...