Leyla Nazhandali

Orcid: 0000-0002-3267-6465

Affiliations:
  • Virginia Tech, Blacksburg, VA, USA


According to our database1, Leyla Nazhandali authored at least 49 papers between 2002 and 2023.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2023
Miniaturized Gas Chromatographic Nose for On-Site Adulteration Detection.
IEEE Trans. Instrum. Meas., 2023

2020
Detecting Electromagnetic Injection Attack on FPGAs Using In-situ Timing Sensors.
J. Hardw. Syst. Secur., 2020

Impact of System-on-Chip Integration of AEAD Ciphers.
IACR Cryptol. ePrint Arch., 2020

Skip the clicker: A narrative inquiry of a professor's 'Teaching Toolbox' for large class sizes.
Proceedings of the IEEE Frontiers in Education Conference, 2020

2019
A Secure Exception Mode for Fault-Attack-Resistant Processing.
IEEE Trans. Dependable Secur. Comput., 2019

2018
Inducing local timing fault through EM injection.
Proceedings of the 55th Annual Design Automation Conference, 2018

2017
Analyzing the Fault Injection Sensitivity of Secure Embedded Software.
ACM Trans. Embed. Comput. Syst., 2017

Employing dual-complementary flip-flops to detect EMFI attacks.
Proceedings of the 2017 Asian Hardware Oriented Security and Trust Symposium, 2017

2016
A Configurable and Lightweight Timing Monitor for Fault Attack Detection.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2016

FAME: Fault-attack Aware Microprocessor Extensions for Hardware Fault Detection and Software Fault Response.
Proceedings of the Hardware and Architectural Support for Security and Privacy 2016, 2016

2015
Design of Ultra-Low Power Scalable-Throughput Many-Core DSP Applications.
ACM Trans. Design Autom. Electr. Syst., 2015

Study of the impact of aging on many-core energy-efficient DSP systems.
Proceedings of the Sixteenth International Symposium on Quality Electronic Design, 2015

2014
Sense Amplifier Pass Transistor Logic for energy efficient and DPA-resistant AES circuit.
Proceedings of the Fifteenth International Symposium on Quality Electronic Design, 2014

Circuit-level approach to improve the temperature reliability of Bi-stable PUFs.
Proceedings of the Fifteenth International Symposium on Quality Electronic Design, 2014

Study of IC aging on ring oscillator physical unclonable functions.
Proceedings of the Fifteenth International Symposium on Quality Electronic Design, 2014

2013
Fast Approximation Framework for Timing and Power Analysis of Ultra-Low-Voltage Circuits.
IEEE Trans. Circuits Syst. I Regul. Pap., 2013

NEMS-Based Functional Unit Power-Gating: Design, Analysis, and Optimization.
IEEE Trans. Circuits Syst. I Regul. Pap., 2013

Design and benchmarking of an ASIC with five SHA-3 finalist candidates.
Microprocess. Microsystems, 2013

Easy-to-build Arbiter Physical Unclonable Function with enhanced challenge/response set.
Proceedings of the International Symposium on Quality Electronic Design, 2013

Study of ASIC technology impact factors on performance evaluation of SHA-3 candidates.
Proceedings of the Great Lakes Symposium on VLSI 2013 (part of ECRC), 2013

A novel statistical and circuit-based technique for counterfeit detection in existing ICs.
Proceedings of the Great Lakes Symposium on VLSI 2013 (part of ECRC), 2013

Interlocking obfuscation for anti-tamper hardware.
Proceedings of the Cyber Security and Information Intelligence, 2013

2012
Design of energy-efficient, adaptable throughput systems at near/sub-threshold voltage.
ACM Trans. Design Autom. Electr. Syst., 2012

From Transistors to NEMS: Highly Efficient Power-Gating of CMOS Circuits.
ACM J. Emerg. Technol. Comput. Syst., 2012

Design of low-power, scalable-throughput systems at near/sub threshold voltage.
Proceedings of the Thirteenth International Symposium on Quality Electronic Design, 2012

Design and analysis of multi-core homogeneous systems for energy harvesting applications.
Proceedings of the 19th IEEE International Conference on Electronics, Circuits and Systems, 2012

ASIC implementations of five SHA-3 finalists.
Proceedings of the 2012 Design, Automation & Test in Europe Conference & Exhibition, 2012

Design techniques for functional-unit power gating in the Ultra-Low-Voltage region.
Proceedings of the 17th Asia and South Pacific Design Automation Conference, 2012

2011
Hybrid Super/Subthreshold Design of a Low Power Scalable-Throughput FFT Architecture.
Trans. High Perform. Embed. Archit. Compil., 2011

Feedback Based Supply Voltage Control for Temperature Variation Tolerant PUFs.
Proceedings of the VLSI Design 2011: 24th International Conference on VLSI Design, 2011

MEMS-Based Power Gating for Highly Scalable Periodic and Event-Driven Processing.
Proceedings of the VLSI Design 2011: 24th International Conference on VLSI Design, 2011

A Highly Stable Leakage-Based Silicon Physical Unclonable Functions.
Proceedings of the VLSI Design 2011: 24th International Conference on VLSI Design, 2011

Pre-silicon Characterization of NIST SHA-3 Final Round Candidates.
Proceedings of the 14th Euromicro Conference on Digital System Design, 2011

A case for NEMS-based functional-unit power gating of low-power embedded microprocessors.
Proceedings of the 48th Design Automation Conference, 2011

2010
From Statistics to Circuits: Foundations for Future Physical Unclonable Functions.
Proceedings of the Towards Hardware-Intrinsic Security - Foundations and Practice, 2010

On The Impact of Target Technology in SHA-3 Hardware Benchmark Rankings.
IACR Cryptol. ePrint Arch., 2010

Prototyping Platform for Performance Evaluation of SHA-3 Candidates.
Proceedings of the HOST 2010, 2010

From transistors to MEMS: Throughput-aware power gating in CMOS circuits.
Proceedings of the Design, Automation and Test in Europe, 2010

2009
Energy-Efficient Subthreshold Processor Design.
IEEE Trans. Very Large Scale Integr. Syst., 2009

Fast Simulation Framework for Subthreshold Circuits.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2009), 2009

Circuit Level Techniques for Reliable Physically Uncloneable Functions.
Proceedings of the IEEE International Workshop on Hardware-Oriented Security and Trust, 2009

2008
Exploring Variability and Performance in a Sub-200-mV Processor.
IEEE J. Solid State Circuits, 2008

Utilizing sub-threshold technology for the creation of secure circuits.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2008), 2008

A low-power parallel design of discrete wavelet transform using subthreshold voltage technology.
Proceedings of the 2008 International Conference on Compilers, 2008

2007
A hybrid code compression technique using bitmask and prefix encoding with enhanced dictionary selection.
Proceedings of the 2007 International Conference on Compilers, 2007

2006
Architectural optimization for performance- and energy -constrained sensor processors.
PhD thesis, 2006

2005
Energy Optimization of Subthreshold-Voltage Sensor Network Processors.
Proceedings of the 32st International Symposium on Computer Architecture (ISCA 2005), 2005

A second-generation sensor network processor with application-driven memory optimizations and out-of-order execution.
Proceedings of the 2005 International Conference on Compilers, 2005

2002
Majority-Based Decomposition of Carry Logic in Binary Adders.
Proceedings of the 11th IEEE/ACM International Workshop on Logic & Synthesis, 2002


  Loading...