Brian Cline

According to our database1, Brian Cline authored at least 41 papers between 2006 and 2022.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2022
Design-Aware Partitioning-Based 3-D IC Design Flow With 2-D Commercial Tools.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

System technology co-optimization and design challenges for 3D IC.
Proceedings of the IEEE Custom Integrated Circuits Conference, 2022

2021
High-Performance Logic-on-Memory Monolithic 3-D IC Designs for Arm Cortex-A Processors.
IEEE Trans. Very Large Scale Integr. Syst., 2021

Device-to-System Performance Evaluation: from Transistor/Interconnect Modeling to VLSI Physical Design and Neural-Network Predictor.
CoRR, 2021

Power Delivery and Thermal-Aware Arm-Based Multi-Tier 3D Architecture.
Proceedings of the IEEE/ACM International Symposium on Low Power Electronics and Design, 2021

A 64-Bit Arm CPU at Cryogenic temperatures: Design Technology Co-Optimization for Power and Performance.
Proceedings of the IEEE Custom Integrated Circuits Conference, 2021

3D-Split SRAM: Enabling Generational Gains in Advanced CMOS.
Proceedings of the IEEE Custom Integrated Circuits Conference, 2021

2020
Stack up your chips: Betting on 3D integration to augment Moore's Law scaling.
CoRR, 2020

2019
System-Level Power Delivery Network Analysis and Optimization for Monolithic 3-D ICs.
IEEE Trans. Very Large Scale Integr. Syst., 2019

Enhanced 3D Implementation of an Arm<sup>®</sup> Cortex<sup>®</sup>-A Microprocessor.
Proceedings of the 2019 IEEE/ACM International Symposium on Low Power Electronics and Design, 2019

2018
Standard Cell Library Design and Optimization Methodology for ASAP7 PDK.
CoRR, 2018

Accurate processor-level wirelength distribution model for technology pathfinding using a modernized interpretation of rent's rule.
Proceedings of the 55th Annual Design Automation Conference, 2018

2017
Impact and Design Guideline of Monolithic 3-D IC at the 7-nm Technology Node.
IEEE Trans. Very Large Scale Integr. Syst., 2017

Redundant Local-Loop Insertion for Unidirectional Routing.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2017

Impact of FinFET on Near-Threshold Voltage Scalability.
IEEE Des. Test, 2017

Frequency and time domain analysis of power delivery network for monolithic 3D ICs.
Proceedings of the 2017 IEEE/ACM International Symposium on Low Power Electronics and Design, 2017

DTCO for DSA-MP Hybrid Lithography with Double-BCP Materials in Sub-7nm Node.
Proceedings of the 2017 IEEE International Conference on Computer Design, 2017

Standard cell library design and optimization methodology for ASAP7 PDK: (Invited paper).
Proceedings of the 2017 IEEE/ACM International Conference on Computer-Aided Design, 2017

2016
ASAP7: A 7-nm finFET predictive process design kit.
Microelectron. J., 2016

Predicting future complementary metal-oxide-semiconductor technology - challenges and approaches.
IET Comput. Digit. Tech., 2016

Welcome.
Proceedings of the 17th International Symposium on Quality Electronic Design, 2016

Monolithic 3D IC design: Power, performance, and area impact at 7nm.
Proceedings of the 17th International Symposium on Quality Electronic Design, 2016

Four-tier Monolithic 3D ICs: Tier Partitioning Methodology and Power Benefit Study.
Proceedings of the 2016 International Symposium on Low Power Electronics and Design, 2016

Cascade2D: A design-aware partitioning approach to monolithic 3D IC with 2D commercial tools.
Proceedings of the 35th International Conference on Computer-Aided Design, 2016

Near-threshold computing in FinFET technologies: opportunities for improved voltage scalability.
Proceedings of the 53rd Annual Design Automation Conference, 2016

Match-making for monolithic 3D IC: finding the right technology node.
Proceedings of the 53rd Annual Design Automation Conference, 2016

2015
Self-Aligned Double Patterning Aware Pin Access and Standard Cell Layout Co-Optimization.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2015

Circuit design perspectives for Ge FinFET at 10nm and beyond.
Proceedings of the Sixteenth International Symposium on Quality Electronic Design, 2015

Power benefit study of monolithic 3D IC at the 7nm technology node.
Proceedings of the IEEE/ACM International Symposium on Low Power Electronics and Design, 2015

2014
Physical design and FinFETs.
Proceedings of the International Symposium on Physical Design, 2014

DFM is dead - Long live DFM.
Proceedings of the 32nd IEEE International Conference on Computer Design, 2014

2013
The past present and future of design-technology co-optimization.
Proceedings of the IEEE 2013 Custom Integrated Circuits Conference, 2013

2012
Design benchmarking to 7nm with FinFET predictive technology models.
Proceedings of the International Symposium on Low Power Electronics and Design, 2012

Exploring sub-20nm FinFET design with predictive technology models.
Proceedings of the 49th Annual Design Automation Conference 2012, 2012

2010
Mechanical Stress Aware Optimization for Leakage Power Reduction.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2010

2008
Exploring Variability and Performance in a Sub-200-mV Processor.
IEEE J. Solid State Circuits, 2008

Stress aware layout optimization.
Proceedings of the 2008 International Symposium on Physical Design, 2008

STEEL: a technique for stress-enhanced standard cell library design.
Proceedings of the 2008 International Conference on Computer-Aided Design, 2008

Transistor-Specific Delay Modeling for SSTA.
Proceedings of the Design, Automation and Test in Europe, 2008

Leakage power reduction using stress-enhanced layouts.
Proceedings of the 45th Design Automation Conference, 2008

2006
Analysis and modeling of CD variation for statistical static timing.
Proceedings of the 2006 International Conference on Computer-Aided Design, 2006


  Loading...