Shianling Wu

According to our database1, Shianling Wu authored at least 24 papers between 1985 and 2012.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2012
Launch-on-Shift Test Generation for Testing Scan Designs Containing Synchronous and Asynchronous Clock Domains.
ACM Trans. Design Autom. Electr. Syst., 2012

Physical-design-friendly hierarchical logic built-in self-test - A case study.
Proceedings of the Thirteenth International Symposium on Quality Electronic Design, 2012

2011
Using Launch-on-Capture for Testing Scan Designs Containing Synchronous and Asynchronous Clock Domains.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2011

2010
Using Launch-on-Capture for Testing BIST Designs Containing Synchronous and Asynchronous Clock Domains.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2010

CSER: BISER-based concurrent soft-error resilience.
Proceedings of the 28th IEEE VLSI Test Symposium, 2010

Hybrid Built-In Self-Test Architecture for Multi-port Static RAMs.
Proceedings of the 25th IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems, 2010

Logic BIST Architecture Using Staggered Launch-on-Shift for Testing Designs Containing Asynchronous Clock Domains.
Proceedings of the 25th IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems, 2010

2009
Turbo1500: Core-Based Design for Test and Diagnosis.
IEEE Des. Test Comput., 2009

Logic BIST Architecture for System-Level Test and Diagnosis.
Proceedings of the Eighteentgh Asian Test Symposium, 2009

Analysis of Resistive Bridging Defects in a Synchronizer.
Proceedings of the Eighteentgh Asian Test Symposium, 2009

2008
VirtualScan: Test Compression Technology Using Combinational Logic and One-Pass ATPG.
IEEE Des. Test Comput., 2008

Turbo1500: Toward Core-Based Design for Test and Diagnosis Using the IEEE 1500 Standard.
Proceedings of the 2008 IEEE International Test Conference, 2008

On Optimizing Fault Coverage, Pattern Count, and ATPG Run Time Using a Hybrid Single-Capture Scheme for Testing Scan Designs.
Proceedings of the 23rd IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2008), 2008

Practical Challenges in Logic BIST Implementation.
Proceedings of the 17th IEEE Asian Test Symposium, 2008

2006
A Novel and Practical Control Scheme for Inter-Clock At-Speed Testing.
Proceedings of the 2006 IEEE International Test Conference, 2006

2005
Test compression and logic BIST at your fingertips.
Proceedings of the Proceedings 2005 IEEE International Test Conference, 2005

UltraScan: using time-division demultiplexing/multiplexing (TDDM/TDM) with VirtualScan for test cost reduction.
Proceedings of the Proceedings 2005 IEEE International Test Conference, 2005

At-Speed Logic BIST Architecture for Multi-Clock Designs.
Proceedings of the 23rd International Conference on Computer Design (ICCD 2005), 2005

At-Speed Logic BIST for IP Cores.
Proceedings of the 2005 Design, 2005

2004
VirtualScan: A New Compressed Scan Technology for Test Cost Reduction.
Proceedings of the Proceedings 2004 International Test Conference (ITC 2004), 2004

2000
Collaboration between Industry and Academia in Test Research.
Proceedings of the 9th Asian Test Symposium (ATS 2000), 4-6 December 2000, Taipei, Taiwan, 2000

1998
A non-enumerative path delay fault simulator for sequential circuits.
Proceedings of the Proceedings IEEE International Test Conference 1998, 1998

1996
Lessons Learned from Practical Applications of BIST/B-S Technology.
Proceedings of the 5th Asian Test Symposium (ATS '96), 1996

1985
A Sequential Circuit Test Generation System.
Proceedings of the Proceedings International Test Conference 1985, 1985


  Loading...