Per Larsson-Edefors

Orcid: 0000-0001-5779-4313

According to our database1, Per Larsson-Edefors authored at least 104 papers between 1996 and 2023.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2023
Field Trial of FPGA-Based Real-Time Sensing Transceiver over 524 km of Live Aerial Fiber.
Proceedings of the Optical Fiber Communications Conference and Exhibition, 2023

FPGA Implementation of Multi-Layer Machine Learning Equalizer with On-Chip Training.
Proceedings of the Optical Fiber Communications Conference and Exhibition, 2023

2022
Real-Time MIMO Transmission over Field-Deployed Coupled-Core Multi-Core Fibers.
Proceedings of the Optical Fiber Communications Conference and Exhibition, 2022

Real-Time Transmission over 2×55 km All 7-Core Coupled-Core Multi-Core Fiber Link.
Proceedings of the Optical Fiber Communications Conference and Exhibition, 2022

Transoceanic Phase and Polarization Fiber Sensing using Real-Time Coherent Transceiver.
Proceedings of the Optical Fiber Communications Conference and Exhibition, 2022

Fiber-on-Chip: Digital FPGA Emulation of Channel Impairments for Real-Time Evaluation of DSP.
Proceedings of the Optical Fiber Communications Conference and Exhibition, 2022

Waveform Memory for Real-Time FPGA Test of Fiber-Optic Receiver DSPs.
Proceedings of the IEEE Nordic Circuits and Systems Conference, NorCAS 2022, Oslo, 2022

2021
Variable-Rate VLSI Architecture for 400-Gb/s Hard-Decision Product Decoder.
IEEE Trans. Circuits Syst. I Regul. Pap., 2021

Benchmarking of Carrier Phase Recovery Circuits for M-QAM Coherent Systems.
Proceedings of the Optical Fiber Communications Conference and Exhibition, 2021

2020
ASIC Design Exploration for DSP and FEC of 400-Gbit/s Coherent Data-Center Interconnect Receivers.
Proceedings of the Optical Fiber Communications Conference and Exhibition, 2020

Cycle-Slip Rate Analysis of Blind Phase Search DSP Circuit Implementations.
Proceedings of the Optical Fiber Communications Conference and Exhibition, 2020

2019
Hardware Considerations for Selection Networks.
Proceedings of the 27th IFIP/IEEE International Conference on Very Large Scale Integration, 2019

Energy-Efficient Soft-Assisted Product Decoders.
Proceedings of the Optical Fiber Communications Conference and Exhibition, 2019

ASIC Design Exploration of Phase Recovery Algorithms for M-QAM Fiber-Optic Systems.
Proceedings of the Optical Fiber Communications Conference and Exhibition, 2019

Variable-Rate FEC Decoder VLSI Architecture for 400G Rate-Adaptive Optical Communication.
Proceedings of the 26th IEEE International Conference on Electronics, Circuits and Systems, 2019

2018
Custom versus Cell-Based ASIC Design for Many-Channel Correlators.
Proceedings of the 2018 IEEE International Workshop on Signal Processing Systems, 2018

Energy-Efficient High-Throughput Staircase Decoders.
Proceedings of the Optical Fiber Communications Conference and Exposition, 2018

A High-Throughput Low-Power Soft Bit-Flipping LDPC Decoder in 28 nm FD-SOI.
Proceedings of the 44th IEEE European Solid State Circuits Conference, 2018

Power Consumption Savings Through Joint Carrier Recovery for Spectral and Spatial Superchannels.
Proceedings of the European Conference on Optical Communication, 2018

ASIC Implementation of Time-Domain Digital Backpropagation with Deep-Learned Chromatic Dispersion Filters.
Proceedings of the European Conference on Optical Communication, 2018

2017
A framework for a relative real-time tracking system based on ultra-wideband technology.
Proceedings of the 14th Workshop on Positioning, Navigation and Communications, 2017

Time-domain digital back propagation: Algorithm and finite-precision implementation aspects.
Proceedings of the Optical Fiber Communications Conference and Exhibition, 2017

A 3-GHz reconfigurable 2/3-level 96/48-channel cross-correlator for synthetic aperture radiometry.
Proceedings of the 43rd IEEE European Solid State Circuits Conference, 2017

Finite-Precision Optimization of Time-Domain Digital Back Propagation by Inter-Symbol Interference Minimization.
Proceedings of the European Conference on Optical Communication, 2017

Improved Low-Power LDPC FEC for Coherent Optical Systems.
Proceedings of the European Conference on Optical Communication, 2017

Instruction level energy model for the Adapteva Epiphany multi-core processor.
Proceedings of the Computing Frontiers Conference, 2017

2016
Dynamic equalizer power dissipation optimization.
Proceedings of the Optical Fiber Communications Conference and Exhibition, 2016

Logic filter cache for wide-VDD-range processors.
Proceedings of the 2016 IEEE International Conference on Electronics, Circuits and Systems, 2016

Practical way halting by speculatively accessing halt tags.
Proceedings of the 2016 Design, Automation & Test in Europe Conference & Exhibition, 2016

Redesigning a tagless access buffer to require minimal ISA changes.
Proceedings of the 2016 International Conference on Compilers, 2016

2015
Improving Data Access Efficiency by Using Context-Aware Loads and Stores.
Proceedings of the 16th ACM SIGPLAN/SIGBED Conference on Languages, 2015

Exploring early and late ALUs for single-issue in-order pipelines.
Proceedings of the 33rd IEEE International Conference on Computer Design, 2015

Impact of forward error correction on energy consumption of VCSEL-based transmitters.
Proceedings of the European Conference on Optical Communication, 2015

Energy-efficient soft-decision LDPC FEC For long-haul optical communication.
Proceedings of the European Conference on Optical Communication, 2015

2014
1.6 GHz Low-Power Cross-Correlator System Enabling Geostationary Earth Orbit Aperture Synthesis.
IEEE J. Solid State Circuits, 2014

Assessing scrubbing techniques for Xilinx SRAM-based FPGAs in space applications.
Proceedings of the 2014 International Conference on Field-Programmable Technology, 2014

Timing- and power-driven ALU design training using spreadsheet-based arithmetic exploration.
Proceedings of the 10th European Workshop on Microelectronics Education (EWME), 2014

Reducing set-associative L1 data cache energy by early load data dependence detection (ELD<sup>3</sup>).
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2014

2013
Designing a practical data filter cache to improve both energy efficiency and performance.
ACM Trans. Archit. Code Optim., 2013

FlexCore: Implementing an exposed datapath processor.
Proceedings of the 2013 International Conference on Embedded Computer Systems: Architectures, 2013

Exploring prefix-tree adders using excel spreadsheets Setting up an explorative learning environment.
Proceedings of the 2013 IEEE International Conference on Microelectronic Systems Education, 2013

Manufacturable nanometer designs using standard cells with regular layout.
Proceedings of the International Symposium on Quality Electronic Design, 2013

A SiGe 8-channel comparator for application in a synthetic aperture radiometer.
Proceedings of the 2013 IEEE International Symposium on Circuits and Systems (ISCAS2013), 2013

Speculative tag access for reduced energy dissipation in set-associative L1 data caches.
Proceedings of the 2013 IEEE 31st International Conference on Computer Design, 2013

Improving data access efficiency by using a tagless access buffer (TAB).
Proceedings of the 2013 IEEE/ACM International Symposium on Code Generation and Optimization, 2013

2012
Configurable RTL model for level-1 caches.
Proceedings of the NORCHIP 2012, Copenhagen, Denmark, November 12-13, 2012, 2012

Data-Width-Driven Power Gating of Integer Arithmetic Circuits.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2012

Feasibility study of FPGA-based equalizer for 112-Gbit/s optical fiber receivers.
Proceedings of the 2012 IEEE International Symposium on Circuits and Systems, 2012

Viterbi Accelerator for Embedded Processor Datapaths.
Proceedings of the 23rd IEEE International Conference on Application-Specific Systems, 2012

2011
Application-Specific Energy Optimization of General-Purpose Datapath Interconnect.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2011

Reconfigurable Instruction Decoding for a Wide-Control-Word Processor.
Proceedings of the 25th IEEE International Symposium on Parallel and Distributed Processing, 2011

3.6-GHz 0.2-mW/ch/GHz 65-nm cross-correlator for synthetic aperture radiometry.
Proceedings of the 2011 IEEE Custom Integrated Circuits Conference, 2011

2010
A High-Speed, Energy-Efficient Two-Cycle Multiply-Accumulate (MAC) Architecture and Its Application to a Double-Throughput MAC Unit.
IEEE Trans. Circuits Syst. I Regul. Pap., 2010

Generation and Exploration of Layouts for Area-Efficient Barrel Shifters.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2010

On-chip power supply noise and its implications on timing.
Proceedings of the 20th ACM Great Lakes Symposium on VLSI 2009, 2010

Cyclic Redundancy Checking (CRC) Accelerator for the FlexCore Processor.
Proceedings of the 13th Euromicro Conference on Digital System Design, 2010

Design space exploration for an embedded processor with flexible datapath interconnect.
Proceedings of the 21st IEEE International Conference on Application-specific Systems Architectures and Processors, 2010

2009
FlexCore: Utilizing Exposed Datapath Control for Efficient Computing.
J. Signal Process. Syst., 2009

Multiplication Acceleration Through Twin Precision.
IEEE Trans. Very Large Scale Integr. Syst., 2009

High-speed, energy-efficient 2-cycle Multiply-Accumulate architecture.
Proceedings of the Annual IEEE International SoC Conference, SoCC 2009, 2009

Scheduling for an Embedded Architecture with a Flexible Datapath.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2009

Double Throughput Multiply-Accumulate unit for FlexCore processor enhancements.
Proceedings of the 23rd IEEE International Symposium on Parallel and Distributed Processing, 2009

Layout exploration of geometrically accurate arithmetic circuits.
Proceedings of the 16th IEEE International Conference on Electronics, 2009

Custom layout strategy for rectangle-shaped log-depth multiplier reduction tree.
Proceedings of the 16th IEEE International Conference on Electronics, 2009

2008
Time-domain interconnect characterisation flow for appropriate model segmentation.
IET Comput. Digit. Tech., 2008

Noise-Aware On-Chip Power Grid Considerations Using a Statistical Approach.
Proceedings of the 9th International Symposium on Quality of Electronic Design (ISQED 2008), 2008

Noise Interaction Between Power Distribution Grids and Substrate.
Proceedings of the 9th International Symposium on Quality of Electronic Design (ISQED 2008), 2008

High-speed and low-power multipliers using the Baugh-Wooley algorithm and HPM reduction tree.
Proceedings of the 15th IEEE International Conference on Electronics, Circuits and Systems, 2008

2007
A Flexible Datapath Interconnect for Embedded Applications.
Proceedings of the 2007 IEEE Computer Society Annual Symposium on VLSI (ISVLSI 2007), 2007

Overdrive Power-Gating Techniques for Total Power Minimization.
Proceedings of the 2007 IEEE Computer Society Annual Symposium on VLSI (ISVLSI 2007), 2007

Leakage-Conscious Architecture-Level Power Estimation for Partitioned and Power-Gated SRAM Arrays.
Proceedings of the 8th International Symposium on Quality of Electronic Design (ISQED 2007), 2007

High-Accuracy Architecture-Level Power Estimation for Partitioned SRAM Arrays in a 65-nm CMOS BPTM Process.
Proceedings of the Tenth Euromicro Conference on Digital System Design: Architectures, 2007

2006
Toward architecture-based test-vector generation for timing verification of fast parallel multipliers.
IEEE Trans. Very Large Scale Integr. Syst., 2006

Parameterizable Architecture-Level SRAM Power Model Using Circuit-Simulation Backend for Leakage Calibration.
Proceedings of the 7th International Symposium on Quality of Electronic Design (ISQED 2006), 2006

Multiplier reduction tree with logarithmic logic depth and regular connectivity.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2006), 2006

2005
A low-leakage twin-precision multiplier using reconfigurable power gating.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2005), 2005

Accounting for the skin effect during repeater insertion.
Proceedings of the 15th ACM Great Lakes Symposium on VLSI 2005, 2005

2004
On Maximum Current Estimation in CMOS Digital Circuits.
Proceedings of the 17th International Conference on VLSI Design (VLSI Design 2004), 2004

Table-Based Total Power Consumption Estimation of Memory Arrays for Architects.
Proceedings of the Integrated Circuit and System Design, 2004

On Skin Effect in On-Chip Interconnects.
Proceedings of the Integrated Circuit and System Design, 2004

Dynamic pass-transistor dot operators for efficient parallel-prefix adders.
Proceedings of the 2004 International Symposium on Circuits and Systems, 2004

Glitch-conscious low-power design of arithmetic circuits.
Proceedings of the 2004 International Symposium on Circuits and Systems, 2004

Evaluation of power cut-off techniques in the presence of gate leakage.
Proceedings of the 2004 International Symposium on Circuits and Systems, 2004

An Efficient Twin-Precision Multiplier.
Proceedings of the 22nd IEEE International Conference on Computer Design: VLSI in Computers & Processors (ICCD 2004), 2004

A power cut-off technique for gate leakage suppression [CMOS logic circuits].
Proceedings of the 33rd European Solid-State Circuits Conference, 2004

2003
Dual Threshold Voltage Circuits in the Presence of Resistive Interconnects.
Proceedings of the 2003 IEEE Computer Society Annual Symposium on VLSI (ISVLSI 2003), 2003

A Mixed-Mode Delay-Locked-Loop Architecture.
Proceedings of the 21st International Conference on Computer Design (ICCD 2003), 2003

A gate leakage reduction strategy for future CMOS circuits.
Proceedings of the ESSCIRC 2003, 2003

Full-custom vs. standard-cell design flow: an adder case study.
Proceedings of the 2003 Asia and South Pacific Design Automation Conference, 2003

A deep submicron power estimation methodology adaptable to variations between power characterization and estimation.
Proceedings of the 2003 Asia and South Pacific Design Automation Conference, 2003

DSP-PP: A Simulator/Estimator of Power Consumption and Performance for Parallel DSP Architectures.
Proceedings of the 21st IASTED International Multi-Conference on Applied Informatics (AI 2003), 2003

2001
A regular parallel multiplier which utilizes multiple carry-propagate adders.
Proceedings of the 2001 International Symposium on Circuits and Systems, 2001

A 2.8 ns 30 uW/MHz area-efficient 32-b Manchester carry-bypass adder.
Proceedings of the 2001 International Symposium on Circuits and Systems, 2001

Cycle-true leakage current modeling for CMOS gates.
Proceedings of the 2001 International Symposium on Circuits and Systems, 2001

VLSI implementation of CRC-32 for 10 Gigabit Ethernet.
Proceedings of the 2001 8th IEEE International Conference on Electronics, 2001

Interconnect-Driven Short-Circuit Power Modeling.
Proceedings of the Euromicro Symposium on Digital Systems Design 2001 (Euro-DSD 2001), 2001

2000
Impact of Voltage Scaling on Glitch Power Consumption.
Proceedings of the Integrated Circuit Design, 2000

An interconnect-driven design of a DFT processor.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2000

GLMC: interconnect length estimation by growth-limited multifold clustering.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2000

1999
A leakage-tolerant multi-phase keeper for wide domino circuits.
Proceedings of the 6th IEEE International Conference on Electronics, Circuits and Systems, 1999

1998
Separation and extraction of short-circuit power consumption in digital CMOS VLSI circuits.
Proceedings of the 1998 International Symposium on Low Power Electronics and Design, 1998

A Miniature Serial-Data SIMD Architecture.
Proceedings of the 24th EUROMICRO '98 Conference, 1998

1996
Technology mapping onto very-high-speed standard CMOS hardware.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1996

A 965-Mb/s 1.0-μm standard CMOS twin-pipe serial/parallel multiplier.
IEEE J. Solid State Circuits, 1996


  Loading...